Перейти к содержанию
    

rloc

Модератор
  • Постов

    3 197
  • Зарегистрирован

  • Победитель дней

    12

Сообщения, опубликованные rloc


  1. Подведу черту под дискретным стабилизатором:

     

    post-1462-1536610711_thumb.png

     

    Полка шумов - 0.7 нВ/Гц (LT3045 - 2 нВ/Гц), интегральное значение в полосе 10 Гц - 100 кГц - 0.27 мкВ (LT3045 - 0.8 мкВ). На отстройках ниже 1 Гц (Е5052 не позволяет) наклон резче пойдет, чувствуется влияние температуры, возможно в ADM7150/51 аналогичная ситуация и емкость не спасет. Как-то так, просто и со вкусом )

     

    Попутный вопрос. На LT3045 пишут PSRR > 80 дБ, иначе - 4 декады по напряжению. Смотрю на картинку:

     

    post-1462-1536612281_thumb.png

     

    Не сходится, вроде должен до собственных шумов давить?

  2. Эта. Термостабильность для 3.3 В должна быть такой:

     

    post-1462-1536482950_thumb.png

     

    Пока не знаю, есть ли смысл проверять, на макетке стоят не согласованные отдельные транзисторы, хоть и из одной ленты подряд и резисторы из ряда E12. Первая пара обязательно должна быть в одном корпусе. Можно сделать с наклоном в "+" и "-", что иногда бывает полезным.

     

    В схему добавил стабилитрон между входом и выходом, чтобы при старте дал "пинок" и потом отключился (возможно кто-то предложит более оригинальное решение), и конденсатор параллельно R2 для дополнительной фильтрации, подстраховки. Особенность схемы - диапазон регулировки от 2.5 В и выше, для сохранения термостабильности желательно слегка корректировать R1-R3.

  3. В Чип-Дипе есть и импортные комплектующие, с нашими - как по минному полю. Предыстория такая: лет 5 назад с малошумными LDO совсем туго было, сейчас - то предела по напряжению не хватает (30 В, например), то выходного тока, то низких шумов на малых отстройках (0.01-0.1 Гц), то отрицательное напряжение нужно (приведите мне один с полкой лучше 5 нВ/Гц).

     

    Чем не устраивают ADM7150 или ADM7151?

    Смотрим даташит, на отстройке 0.1 Гц нужен фильтрующий конденсатор больше 10 мФ, где же тут габариты? Чуток получше LT3045/LT3042. К слову, в Линея одни из самых грамотных специалистов, и в ФД самый низкий добавочный фликкер-шум.

     

    Попытался проанализировать "корень" проблемы малошумящих источников. Классический путь построения: шумный ИОН - фильтр - буфер. Почему ИОН шумный? Со стабилитронами понятно, хотя на 7 В есть более-менее приличные. С кремниевым бендгапом задумался, скорее шумность связана с малой площадью интегральных транзисторов и низких рабочих токов в расчете на широкий круг потребителей. Попробовал сделать свой на транзисторах с током 0.6 А (рабочий - 10 мА), ничего сложного нет, вся конструкция сжимается в 10x10 мм2 с обвязкой. Путь построения: малошумный ИОН - буфер. Мощные транзисторы в ИОНе - это эквивалент нескольких, параллельно соединенных транзисторов, фликкерный наклон параллельно смещается вниз, по всем частотам, полка (коэффициент шума) тоже падает, с конденсатором - только за полосой среза.

  4. По малошумящим источникам питания. Попробовал в деле дискретный стабилизатор на транзисторах, схему приводил ранее, с легкой модификацией в части начального запуска.

     

    post-1462-1536338466_thumb.png

     

    Из 5 в 3.3 В, на столе, без экрана, от импульсного источника. Чувствительности E5052 не хватает, шумы лохматые, увеличение корреляций 1-10-100-1000-10000 дает падение уровня, дальше - долго ждать. Но и при текущем измерении шумы опускаются ниже 1 нВ/Гц выше 1 кГц (покажите мне хоть один интегральный стабилизатор). Происхождение помех в районе 20 кГц пока не ясно, свет, приборы, компьютеры были выключены. Деталей - на 3 руб. из Чип-Дипа, платка - 10x18 мм2, в изделии будет раза в 2 меньше. На следующей неделе поставлю в безэховую камеру на день накоплений от внутреннего источника.

  5. Кстати, как у Вас обстоят дела с быстродействующим/малошумящим синтезатором?

    Если бы не задержки со стороны отдельных исполнителей, прямо скажем безответственных, еще года 2 назад образец лежал бы на столе. Это о себе с жесткой критикой. В трудолюбии Сергею не откажешь, сопутствующие работы проведены: фильтрация, выбор частотного плана, конструктивное исполнение ...

     

    Что известно о судьбе Майкла Дрисколла?

    Ничего не слышал. А что случилось?

    Нет, ничего, был бы рад видеть на IMS2018.

  6. На этой неделе проходил IMS-симпозиум и выставка

    Хорошая компания собралась! Ощущение домашней обстановки )

     

    Раз уж современные измерители ФШ собрались в одном месте, вдруг была возможность сравнить приборы по чувствительности? И давно интересовал вопрос: кому Keysight продает UXG? Зачем нужна скорость в стационарных приборах, помимо РЭБ? И как правильнее: "Ch..." или "Tch..."?

     

    P.S. Что известно о судьбе Майкла Дрисколла?

  7. Скорее всего раньше закончиться резерв по мощности или по возможности охлаждения. Вот сейчас работает 40% DSP и это предел по возможности охлаждения. Если чуть-чуть улучшить радиатор, то будет предел по мощности. А возможность подведения большой мощности определяется в первую очередь размером корпуса и количеством контактов питания.

    Дмитрий, переход на другие алгоритмы преобразования позволит раза в 2 снизить мощность, не только за счет уменьшения DSP, но и меньших внутрикристальных пересылок, коммутаций, обращений к памяти. Не согласен с подводимой мощностью. У вас питание подводится с одной стороны, что по результатам симуляции чаще приводит к неравномерному распределению токов по выводам, а фактически это эквивалентно меньшему корпусу. Эффективность танталовых конденсаторов при больших пульсациях тока близка к 0, пусть даже мультианодных. И все работает, как видим. Статическое потребление неиспользуемых DSP тоже не маленькое.

    Для задач FFT стоимость FMC132P избыточна раз в 10.

  8. у меня были системы в которых 70% ресурсов кристалла были заняты обработкой того что входило и выходило из/на них.

    Если не сложно, то по порядку:

    1. Постановка задачи.

    2. Решение.

    3. Результаты в цифрах.

  9. Это не для режима pipeline. В этом режиме нарастание идёт практически линейное.

    Для pipeline приводил. R2 по ресурсам DSP48 растет конечно быстрее R4, но не настолько.

     

    post-1462-1527456758_thumb.png

     

    Приведу еще раз ресурсы VX1140T: DSP48 = 3360, BRAM18 = 3760

     

    Экстраполируя данные по таблице, получаем для 256K: DSP48 ~ 93, BRAM18 ~ 800

     

    Как и ожидалось, память закончится раньше, а с оптимизированным алгоритмом R4 или R22 DSP48 потребуется еще меньше. Пока не вижу смысла использовать ПЛИС с большим кол-вом DSP48.

  10. Вот например картинка как ложатся БПФ и ОБПФ размером 64К в ПЛИС Virtex 7 VX1140. В этой ПЛИС 3360 DSP, т.е. она меньше чем KU115 в которой 5560 DSP. Но масштабы сравнимые.

    А вот теперь увеличиваем размер БПФ и ОБПФ до 256К. Это уже займёт больше половины ПЛИС.

    По картинке не очень понятно, какой объем. В оптимальном случае, для R4 увеличение вычислительных ресурсов составит log4(256k)/log4(64k)=9/8 = 12.5 % (по умножениям), по памяти соответственно в 4 раза. Но данных по памяти нет, выводов по ресурсам сделать нельзя.

  11. Согласен, на конкретных примерах легче разобраться. Читал ваши статьи или ваших коллег на хабре по БПФ. Как помню, пишите сами, со своей арифметикой. Вкратце, можете описать тип алгоритма? Ресурсы на один блок вычисления для определенного произведения полосы на кол-во отсчетов? По R22 с увеличением отсчетов при широких полосах (допустим выше 100 МГц) быстрее заканчивается внутренняя память, а внешнюю не удается эффективно использовать из-за малой ширины.

  12. А если ФАР размером 128*128 излучателей?

    Чем-то надо оцифровать или преобразовать в аналог сигналы для этих элементов. Это "чем-то" во многих случаях позволяет взять на себя задачи обработки.

  13. Радиолокационные

    Знаком немного. Единственное, что приходит в голову - ФАР, где обработка каждого элемента (может быть несколько тыс.) делается централизовано. Решение таких задач чаще лежит в плоскости грамотного построения распределенной системы вычисления, заодно снижается нагрузка по передачи данных. Оправдать применение объема FLVB2104 крайне сложно.

  14. EvilWrecker, в каком САПР на ваш взгляд с наибольшей эффективностью (минимальными трудозатратами) можно "утрамбовать" зиг-заги, чтобы они занимали любое доступное пространство ПП, в условиях высокой плотности разводки и соблюдении DRC? А в дальнейшем проще было редактировать: удалять, вставлять, сдвигать?
  15. Каденс в районе 10k$...

    За эти деньги можно купить только оркадовские пакеты (эквивалент PADS Pro, который на базе Xpedition), а аллегровские - также выйдут 100-150k$

     

    Поэтому, в ценовой категории 10к$ логичнее сравнивать:

     

    1. Cadence OrCAD PCB Designer Professional

    2. Mentor PADS Professional

    3. Altium Designer 18

  16. интрес был к достижимой добротности ненагруженного резонатора из различных монокристаллов ( сапфир, ниобат лития етс) в зависимости от частоты.

    Есть зависимость f*Q0 от твердости материала. При комнатной температуре лучше алмаз (как DR и как пьезоэлектрик) :) В MEMS так и делают.

  17. Насколько они устойчивы к акустическим шумам и вибрации?

    Да, в генераторах с высокодобротными элементами это серьезная проблема. С кварцевыми генераторами точно такие же проблемы, исключений нет. Только, за счет мЕньших размеров, легче бороться с акустикой: включением нескольких резонаторов с ортогональным расположением осей, демпфированием ...

    Немцы мало написали о термостабилизации, только для случая, когда добротность достаточно низкая, и есть возможность подстройки варикапом (кстати, за счет снижения добротности, и в узком интервале температур +-6 гр.). При высокой добротности, решений с помощью электронной подстройки не предлагают. На E5052 частотная "болтанка" выглядит как резкий рост шумов по закону 40 дБ/дек (по памяти). На FSWP частотная девиация не так заметна, наверное полоса FLL шире. Здесь хотелось бы отметить серьезные продвижения Сергея Бельчикова, потратившего немало усилий в решении этой проблемы. Думаю, решение проблем с акустическими шумами лежит в том же направлении.

  18. не лучше ли использовать сдвоенные АЦП (1 и 2 и отдельно 3 и 4), прав ли я, что идентичность в паре даст преимущество?

    Согласен с преимуществом, когда попарно в одном кристалле, на это и рассчитан четырехканальный вариант построения.

     

    В THA, можно ли использовать NLTL для генерации гармоник? И еще, THA нужны для работы с более высокочастотными источниками?

    Внутри THA есть свой генератор коротких импульсов (фронтов). NLTL хорош в паре с широкополосным смесителем, по аналогии с SPD (Sampling Phase Detector). По THA хорошо написано у Hittite, HMC661.

     

    Нужны ли фильтры (антиалиасинг) на АЦП?

    В общем случае нужны, Symmetricom, как помню, использует фильтры. Обычно для измерителя ФШ не ставится задача селекции от соседних каналов, т.е. функции фильтрации (тот же антиалиасинг) лежат на пользователе. Широкополосный шум при стробировании будет заворачиваться в полосу полезного сигнала. Фильтры бесспорно усложняют конструкцию, но попытаться уйти от них можно, например, как предлагает Александр, за счет некоторого смещения по частоте/фазе тактовых сигналов АЦП или синтезаторов, осуществляющих перенос частоты:

     

    Значит в каждой корреляционной точке можно слегка изменять частоту (можно по случайному закону).

    Конечно это требует дополнительных исследований, поэтому не избежать корректировок в одну или другую сторону.

     

    Не совсем понятно, как сюда вписываются синтезаторы, если использовать 100 МГц OCXO в качестве REF (что ставить в нижних каналах, где сейчас показаны ТНА)?

    Вместо THA - смесители, OCXO - заменить на более высокочастотный источник, или как писал Шаманъ, перейти к двухканальной схеме, в этом случае хорошо реализовать квадратурный перенос частоты. Вопрос с фильтрацией сразу упростится, можно полностью отказаться от фильтрации. В разных вариантах 4-х канальная система даст свои преимущества, значит нужно закладывать в базу.

     

    Сейчас на E5052 это фактически делаешь сам в ручном режиме. Если надо померять малые шумы на дальних отстройках, то запускаешь шкалу отстроек от 1 кГц (больше почему-то не позволяет) и тогда корреляции накапливаются довольно быстро.

    Где-то видел патент на этот прибор. Вроде есть там разбивка на диапазоны, но похоже количество накоплений указывается для стартовой частоты, для более высоких - соответственно больше. Есть еще переключение между узким спаном и широким (разные режимы) - все это не от хорошей жизни, а от уровня элементной базы на момент создания.

     

    rloc

    Скажите, как у Вас с макетом для LC генератора, есть ли результаты?

    В верхних строчках плана, после закрытия обязательств по другим задачам. Приблизительно через 1-2 недели. Пока прорисовано и посчитано, возможно воспользуюсь помощью коллег, чтобы ускорить процесс. Когда макет рядом, легче в интерактивном режиме подкорректировать.

  19. как Вы относитесь к упрощенному варианту с двумя АЦП, в котором сравнение фазы идет с "идеальным" сигналом (а не с полученным второй парой АЦП)?

    Размышления такие. В четырехканальном варианте измеряемый и образцовый сигналы проходят одинаковые пути с одинаковыми нелинейностями. Добавочный шум, после преобразования на нелинейностях, вычитается на выходе без потери чувствительности. Эти тонкие материи можно увидеть только на практике, пока приходится доверять опыту людей.

    Даже если взять смесители вместо THA, то казалось бы при полном сходстве LO и RF, уровни сигналов подаются разные (на LO - с ограничением, на RF - на линейном участке), и набегают шумы преобразования.

  20. Ребята

    Жень, что случилось? Не думаю, что у Александра все гладко и сладко. Если есть возможность вернуться в Анритсу, буду очень рад. Измерительная техника - одно из самых наукоемких направлений, есть где развернуться творческому человеку.

  21. Я сторонник отправить все по максимуму на обработку на ПК, тем более, что 100МГц полоса анализа мне не нужна, а до 10МГц обработка на ПК не должна вызывать проблемы.

    Все обсуждаемо.

     

    Интересно зачем они нарисовали независимые генераторы для тактирования АЦП?

    Можно и одинаковую, для цифровой обработки легче. Если АЦП внутри одной микросхемы, то нужно обязательно разносить по фазам или частотам. Я сторонник разделения по разным корпусам и хорошей фильтрации по питанию, но даже в этом случае не избежать связи через входные цепи, где чаще используют резистивные делители мощности.

×
×
  • Создать...