Перейти к содержанию
    

rloc

Модератор
  • Постов

    3 249
  • Зарегистрирован

  • Победитель дней

    14

Весь контент rloc


  1. GENESYS 2007.03

    Смотрите в upload. Для себя отметил расширенные настройки оптимизации и исправление ошибки с многократным перезапуском MomentumGX при оптимизации, там где этого не требуется.
  2. Если нетрудно, ответьте на 2 вопроса: 1) Почему не использовали sn74cb3t16211, как более современную и с жестким ограничением по напряжению? Интересно как вообще все работает если микросхема SN74CBTD16211 (VCC = 4.5-5.5В) у вас питается от 3.3В? 2) Что помешало использовать FPGA из серии S3A/S3E?
  3. Это под вопросом. С чего Вы предположили, что уровень пульсаций можно использовать в качестве критерия качества работы генератора? Может просто изменилась крутизна характеристики управления ГУН'а. Сделайте в новом генераторе поменьше емкость C7. Если уровень пульсаций подрастет, то придется третий генератор делать?
  4. Частота пульсаций меняется? Есть подозрение на ЭМС (либо по питанию что-нибудь пролезает, либо генераторы плохо развязаны, либо сами что-то генерируют). На спектроанализаторе неплохо посмотреть.
  5. Достаточно воспользоваться бесплатной программой ADIsimPLL
  6. Это не пульсации, а типичное возбуждение цепи с обратной связью. Как Вы считаете RC-цепочку?
  7. Можете поставить простой аттенюатор из двух резисторов и конденсатора (как делают в щупах осциллографов) и сделать размах +/-2.5В (также можно сделать размах 0-5В). Если период сигнала становится меньше, Вы можете просто усреднять результат по нескольким измерениям.
  8. Что ж тут крутого, OnSemi NB7N017M - 3500 МГц, 8 bit, 2..256
  9. Для перестройки обычно используют ЦАП или цифровые потенциометры.
  10. Присоединяюсь к ab1000 По моему опыту, от магистратуры пользы никакой, кроме "корочек". Львиная доля знаний - самообразование. Мне никоим образом не помешал пункт 1 впоследствии заниматься пунктом 2. По зарплате примерно одинаково. Выбирайте то, что Вам по душе.
  11. Был черновой вариант - IEEE P996 "Standard for an Extended Personal Computer Backplane Bus"
  12. Изначально нужно было создавать тему немного в другом разделе, тогда возможно и вопросов было меньше http://electronix.ru/forum/index.php?showforum=46 Про CPLD почитайте тут http://electronix.ru/forum/index.php?showtopic=30472 Можно рассмотреть еще вариант XC3S100E-4TQG144C (~11$) + XCF01SVO20C (~3$), по ресурсам немного симпатичнее получается.
  13. Мой вариант: 1) Кристалл - Xilinx Spartan-3AN XC3S50AN-4TQG144C (~15$) 2) PCI ядро - LogiCORE 32-bit Initiator/Target v3.1.164 (Master ~1/4 кристалла, Slave немного меньше) 3) UCF file - UCF Generator for PCI/PCI-X v2.5 Примечание: распиновку брать в соответствии с *.ucf файлом полученным в пункте 3.
  14. Контроллер у них не совсем HD44780, а совместимый с оным, собственной разработки и очень часто с огромным количеством ошибок. Лет 5 назад "парился" c WH1602D-PML-CP, таких мучений никому больше не пожелаю, жутко глюкавый, совместим с HD44780 процентов на 80%. Если найду, выложу исходники написанные на С под MCS51. LSD - "правильно" Вы его назвали :beer:
  15. Хорошее название :( Не знаю, на каком кристалле Вы будете делать, у Xilinx в последнем апдейте IP2 для ISE v9.2i есть готовый cic_compiler_v1_0. Можно воспользоваться им или просто посмотреть, как он сделан.
  16. Для поиска КЗ в сигнальной цепи можно было воспользоваться приборами: 1) Network Analyzer с функцией Distance-to-Fault Measurement 2) Time domain reflectometer (TDR)
  17. Может я немного опоздал, хочется узнать в какой цепи было КЗ: в сигнальной или цепи питания/земли?
  18. Про шумы активных фильтров надеюсь Вы помните? Сколько разрядов у АЦП?
  19. Не работать будет быстрее, а Windows не будет тормозить, "бедняга" не успевает даже отображать результаты, 100% ресурсов xst отдается.
  20. Ничего сложного нет, и на вдвое больших частотах работали. Разводить нужно на внутренних слоях, трассы желательно делать одинаковыми по длине с высокой точностью.
  21. Не слабый динамический диапазон :07: Подумайте над его сужением. Когда нужен большой динамический диапазон, то как правило делают по следующей схеме: логарифмический усилитель + АЦП (сигма-дельта). В качестве логарифмического усилителя можете посмотреть AD8304 160 dB Logarithmic Amplifier with Photo-Diode Interface
  22. С кодом тормоза не связаны. Нужно ставить самый низкий приоритет xst.exe Вручную, через Диспетчер задач получается, а как сделать постоянно не знаю.
×
×
  • Создать...