Перейти к содержанию
    

Джеймс

Свой
  • Постов

    645
  • Зарегистрирован

  • Посещение

Весь контент Джеймс


  1. Извините, если не секрет, Вы по какой специальности ВУЗ закончили? (спрашиваю без всяких подколок)
  2. А Ваш существующий SDC файл можете опубликовать?
  3. Так а деталька вторая не нужна - перемычка просто переставляется А искать думаю нужно "аксессуары" (Accessories)
  4. Там скорее всего главная проблема с охлаждением бензинового двигателя будет, потому что мотор тем же воздушным винтом и охлаждается, а винта там не будет Так а Вы думаете что компоненты с остатками бензина можно всё будет свободно сдать в багаж? (я не знаю) Я бы начал с поиска аккумов разрешенных к транспортировке, они не такие уж и слабые
  5. А что под этим понимается? Так а в самой камере есть автоматическая экспозиция? /это комбинация "'электронного затвора" (shutter) и усиления/ Или камера всегда работает с фиксированными, задаваемыми вручную, параметрами shutter-а и усиления?
  6. @AngelicQuasar Если не секрет, какая это книга? Я сначала подумал, что это методичка ВУЗ-а, а потом увидел, что там страница 355
  7. А покупали м/c в Китае? Если да, следов пайки не было?
  8. Как минимум здесь не хватает reset-а: SYS_ADC_SCAN : process(glob_clock, reset) variable chan : integer range 0 to 9; begin if reset = '1' then Adc1State <= ST_WAIT_FOR_TRIG; elsif glob_clock'event and glob_clock = '1' then ... Ну а причина ошибки скорее всего в том, что где-то создается "комбинаторная" обратная связь
  9. Ответить на ваш изначальный вопрос можно было бы, если знать дерево тактовых частот (см. один из первых вопросов ув. Zversky) и как оно было реально трассировано. А также вероятно, знать по каким путям попадают сигналы из "внешнего мира" в ПЛИС. Но для этого нужно смотреть на реальную трассировку. P.S я боюсь новый проект тоже может заглючить, когда вам потребуется внести еще какие-то изменения
  10. @jenya7 Если хотите двигаться дальше, заниматься FPGA разработкой не только "для себя", то: 1) Оставьте только эти объявления: LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; Сначала будет сложно, но вы переделаете свой код и настроитесь на верный курс. 2) Конкретно по этому проекту - использовать SCK именно как clock - это неверный подход. Тактовая частота в вашем SPI модуле должна быть одна. Хотя, оговорюсь я не знаю какая эта частота, сколько там MHz.. 3) Вообще пока не используйте больше одной частоты в проекте. CLK и всё! Попробуйте обходиться одной частотой. И всегда проверяйте, что она трассируется на глобальной цепи. Те случаи у вас, когда "то работает, то не работает" (тема "Не всегда срабатывает условие") - это вообще похоже на не-глобальный Clock. Таких штук вообще быть не должно: if (clk3 = '1') then Удачи! (хотя и не уверен, что примите советы)
  11. Какие библиотеки у Вас подключены во всем проекте помимо IEEE.STD_LOGIC_1164.ALL ?
  12. Если не секрет, где установлены эти часы?
  13. Так а какая дальность получилась? : )
  14. Вообще максимальная скорость на 3,3 вольтах, которую мне удавалось получать это ~23 Mбайт/сек, но хотелось бы больше. @djhall Ого, если не секрет, - могли бы сфотографировать карточку? (не срочно)
  15. Извините, "ответ" не по теме. На 3.3V можно работать на частоте 50 MHz и использовать 4 линии SD. Для карт Class 10 это дает скорость порядка 8-9 Mбайт/сек. Я так понимаю, этого не хватает?..
  16. Боюсь без остальных (вложенных) файлов проекта сказать что-то будет сложно..
  17. Всё равно выложите пож-ста : ) Если она не больше 10MB то можно прикрепить к сообщению А лучше на F Т Р
  18. Извините, а есть эта статья? В открытом доступе не найти..
  19. Помимо Flash Pro Lite был еще такой FlashPro (самая большая черная коробка на картинке). У него помимо LPT был еще USB (вроде USB 1.1). Но выигрыша по времени прошивки вроде не было. Дольше всего шьется APA1000, но вроде всё же не 15 минут. Минут 10 вроде было
  20. C каким семейством ПЛИС он планирует работать? Табличка для сравнения здесь: https://www.microsemi.com/existing-parts/parts/152631
  21. Ну ваще.. Если не хотите ничего переделывать, и нужен "программный" обход, предлагаю вот что. Поставьте в эту линию, которая выходит наружу, последовательно 2-3 элемента BUFD. Потом прямо в Chip Planner, после трассировки которая пройдет случайным образом, перетащите их мышкой в желаемые точки, чтобы проложить маршрут. Если поможет, посмотрите location-s этих BUFD и внесите их в свой .pdc файл
  22. > Взаимное влияние цепей внутри ПЛИС > Нет такого влияния в ПЛИС вообще и в Actel A3PE3000 в частности. Проверьте, что формируемая тактовая частота (выход счетчика?) "сидит" на глобальной линии Отчет смотрите в Actel Designer здесь: Tools -> Reports -> Global Но я думаю что проблема еще и во взаимодействии между собой вот этих "других частот, полученных на встроенных PLL", а вернее взаимодействии логики, которая тактируются этими частотами
×
×
  • Создать...