-
Постов
2 067 -
Зарегистрирован
Весь контент vetal
-
Вышла TNKernel v.2.5
vetal ответил yuri_t тема в Операционные системы
Несколько сложнее - для полноценной работы нужна интеграция с HAL для поддержки драйверов аппаратуры и упрощения процесса программирования. PS: Просто ради интереса - существует ли коммерческая, поддерживаемая версия TNKernel? И сколько может стоить порт+ОС с интеграцией nios2-studio? -
Вышла TNKernel v.2.5
vetal ответил yuri_t тема в Операционные системы
Жаль, версии для nios2 нет. Приходится использовать uc/os. -
Любой. Пишите драйвер bfm для keil и цепляете при помощи systemc/vhpi/pli к симулятору. PS: по большому счету - это пустая трата времени и сил. Полученная степень детализации будет избыточной.
-
Сделать ШИМ контроллер
vetal ответил fxb тема в Предлагаю работу
Если это цена макета без учета стоимости работ - поверю. Разработка КД, минимальной документации, стоимость аренды ПО, прибыль и пр мелочи как минимум увеличат стоимость раз в 10 для частника. Даже если по мелочи считать - тут как минимум 2-3 человекомесяца. Серьезная контора с серьезным результатом возьмет на порядок(от приведенной суммы частника) больше. -
ОУ на 500МГц
vetal ответил FormatCft тема в Вопросы аналоговой техники
Такое ощущение, что народ разучился пользоваться поиском. Заходим на сайт analog.com подбираем нужную по параметрам микросхему, смотрим на сайте элтеха доступность. -
Лицензионный Quartus и Nios
vetal ответил torik тема в Среды разработки - обсуждаем САПРы
Хм...а нам только на год дали :( -
Он по прежнему будет асинхронным и поступать будет на асинхронные входы триггеров. Он всего-лишь будет привязан к тактовому сигналу. примерно так будет выглядеть схема: process(ext_rst_n,clk) variable ff: std_logic_vector(1 downto 0); -- этим триггерам нужно прописать правило запрета оптимизации. begin if(ext_rst_n='0') then ff:=(others=>'0'); rst_n<='0'; elsif(falling_edge(clk)) then rst_n<=ff(1); ff(1):=ff(0); ff(0):='1'; end process;
-
Привяжите сигнал сброса к тактовому сигналу и сбрасывайте асинхронно этим синхронным сигналом схему.
-
"Машина" для Altium Designer
vetal ответил semen_992 тема в Altium Designer, DXP, Protel
А какой смысл ее экономить? Нынче объем измеряется не гигабайтами, а планками (1G~400р, 2G ~750р) :) -
среда разработки, VHDL
vetal ответил libricon тема в Среды разработки - обсуждаем САПРы
Eclipse+gcc+gdb по желанию можно линукс добавить в связку. -
Отключите Novell client или запускайте среду под vmware linux.
-
Измерение емкости конденсатора.
vetal ответил Kostin VA тема в В помощь начинающему
Снимите показания мультиметра без конденсатора и при последующих измерениях вычитайте их из измеренной величины. -
2vitan: Как именно вы отправляете запрос на цены? Везде указываете достоверную корпоративную информацию(в т.ч. mail)? Не указываете случайно mail.ru(в большинстве корп. файрволов он в бане, как большой спам сервер)?
-
Самодельный IDE SSD на SD-картах
vetal ответил GrayCat тема в IDE/ATA/SATA/SAS/SCSI/CF
Еще пару вариантов забыли добавить: 1. Использование давно используемых индустриальных решений решений IDE Flash Disk(Disk on chip и пр.) по ценам 100р@32M до примерно 1100р@1G 2. Использование уже доступных SSD по цене соизмеримой со стоимостью памяти на других носителях. 3700р@32Gb. -
Первоисточник по последней позиции http://www.ultralifebatteries.com/subcategory.php?ID=5
-
От 100 до 1200mAh в зависимости от типа и брэнда. Алкилиновые - порядка 500-600.
-
SystemC
vetal ответил Вардан тема в В помощь начинающему
Для того чтобы это проверить надо запустить пример из пакета предварительно настроив систему как указано в сопроводительных файлах. http://www.iss-us.com/wavevcd/ -
первый где-то на фтп есть на сколько я помню.
-
3D в Альтиуме
vetal ответил АДИКМ тема в Altium Designer, DXP, Protel
Иногда использую sw+cw только для примерки. Точка привязки компонента и точка привязки модели в солиде должны точно совпадать. -
Наверно потому, что это делается не в квартусе, а в среде ниоса(пришивка и программа заливается одновременно). Заливать CFI или EPCS решают галочки и не более того. Можно подключить все, что больше или равно требуемому размеру памяти (конфиг + программа). Да, примерно так. Если высокое быстродействие с nand не требуется - можно 100% через простой интерфейс. Если требуется более высокое быстродействие - с аппаратным контролем/исправлением ошибок и буферизированным вводом-выводом.
-
Если честно - ч не понимаю вашего нежелания ставить большую загрузочную память и искать дополнительные проблемы. Сравните: EPCS4 http://export.farnell.com/numonyx/m25p40-v...oic8/dp/4155634 и EPCS16 http://export.farnell.com/numonyx/m25p16-v...5p16/dp/1099664 16 мегабитная память стоит даже дешевле 4х мегабитной :) После того как вы загрузитесь из SPI памяти - можно полноценно работать с nand флэшью .
-
Тут рано судить. Надо собирать реальную систему(хотя бы простейшую timer+uart+пару кило внутрикристальной памяти) и смотреть. Для полноты надо еще прерывания, shifter и возможность работать с байтами/шортами. Если не сложно - соберите ваш код под cyclone и огласите результат. Ну а дальше - сравнение эффективности на памяти CL2-CL3 без кэша. Я уже упоминал по моему - у вас не безрегистровая архитектура, а регистровая с вынесенным относительно ядра регистровым файлом :) Вопрос стоит как раз в эффективности вынесения.
-
а) Вы хотите за 1 такт что-то большее, чем R3=R1+R2? Это идеология всех современных ядер, начиная от микроблэйзов, ниосов, армов и заканчивая спарками. б) Память в любой системе - слабое место. Дюже это сколько?
-
Так и есть :)
-
Шар - вид слева, шар - вид справа... :) Последние ваши расчеты мне не понятны. Предлагаю подитожить немного: 1. С точки зрения кода - предложенный вами вариант является регистровым процессором с вынесенным относительно ядра виртуальным регистровым файлом(со всеми вытекающими плюсами по эффективности использования). 2. С точки зрения быстродействия с внешней памятью ввиду п.1 быстродействие будет более зависимо от типа используемой памяти(Для примера - произвольный доступ к дешевой dram памяти составляет примерно 5-6 тактов)