Перейти к содержанию
    

Builder

Свой
  • Постов

    518
  • Зарегистрирован

  • Посещение

Весь контент Builder


  1. Интересно, а никто не знает где такую штукезу можно купить в Киеве? Не поверите, на http://ipc2u.com.ua/catalog/E/EE/ Тут у них перечислено, где можно вообще купить http://www.ipc2u.ru/about/worldwide/
  2. Это будет эквивалентно введению датчика ускорения. А с матрицами ковариации поиграться не пробовали?
  3. eBOX-2300 стоит от 160 до 200 уе, цены видел на http://www.ipc2u.ru/catalog/E/EE/ И еще, в докумментации написано, что Windows XP Embedded поддерживается.
  4. Закревский тоже кажись что-то давал... Хатя насколько помню лабы со 2-го курса - это не быстро - придётся вспоминать. Если только прога не осталась у кого. Я как-то делал так: кодировал функцию на VeriLog, прогонял Квартусов - в репорте смотрел минимизированную функцию. На всё может уйти не много времени
  5. Я внутреннюю память использовал. Очень понравилось. Можно и читать и писать (но здесь есть ограничение на количество циклов), можно перешивать память через байт-бластер - например если нужно скорректировать параметры работы. Нужно только учитывать несколько моментов: сама память работает по последовательному интерфейсу. Привязка этого интерфейса к своему проекту осуществляеться за счёт ресурсов микросхемы. Хотя если не заморачиваться - можно пользовать готовые мегафункции, они эмулируют и параллельный доступ и последовательный. Но я писал свою. Мне важна была каждая ячейка - реализовал только то что мне нужно было, получилось чуть меньше, хотя и не на много. Есть ограничение по максимальной скорости работы с памятью, мне хвалило - а Вы для себя проверьте. Если память не изменяет, что-то в районе 20МГц последовательного канала.
  6. Я часто делал так: брал некий кусок сделанного ранее проекта, или делал тестовый проект (когда интересовала какая-то конкретная хор-ка). Проганял его для разных чипов, новых, старых... И по результатам видел, что и на сколько быстрее.
  7. Добавлю к тому что сказал 3.14 Вы (qwqw) должны чётко понимать откуда получается реальная частота проекта. Это как уже было сказано - самые длинные комбинаторные цепи (от тригера до тригера). То что приводит производитель (как подметил 3.14), это для случаев, когда цепи между тригерами кратчайши, как правило глубиной в 1 LCEEL. Из сказанного вытекает - хотите высоких скоростей, близких к максимальным - делайте конвеерные схемы (в дополнение к оптимизации "критических путей").
  8. А чем плохо сразу в Квартусе разводить - у него сейчас далеко не плохие результыты работы?
  9. А это не то-же самое, что фильтры на системах с переменной структурой?
  10. IMHO, в эту память можно разместить свой код, купив у АД большую партию чипов. ЗЫ, а Вы в службу поддержки или к диллерам не обращались?
  11. Вы капните google - точно будет. Можно ещё глянуть исходники Gimp - графический редактор опен соде. что-то здесь было: http://www.enlight.ru/demo/faq/smth.phtml?..._curves_splines
  12. Я так не извращался, у меня всё проще: В начало программы встроен вызов ф-и инициализации (в том числе и SDRAM). Функция распологается во внутренней памяти. Перед началом работы 1 раз запускаю код до выхода из инициализации, после чего дальше всё работает как надо - не думаю об инициализации sdram. Для флэша - скомпилирован dxe как описывал, он указан в качестве загрузчика в опциях основного проекта (если не указать - берётся стандартный, без инициализации sdram), и после компиляции основного проекта получаю бинарник ldr со встроенным загрузчиком. Шарк грузится почти как и блэкфинн, только сегмент на исполение прописан жёстко - первые 256 комманд, дальше эти 256 комманд грузят остальные сегменты. Вот, а учитывая что повторная инициализация не влияет на работу sdram контроллера - при работе из эмулятора 1 лишний раз запускаю код, при работе из шлэша - прошиваю в flash ldr, который выдал Vdsp. Ещё более удобно получается так - во флэш записана стандартная программа, первым включаю устройство - всё грузится, инитится. Затем запускаю эмулятор - sdram уже проиничен, и я вообще забыл об этой проблеме - необходимости что-то инитить при запуске.
  13. В шарке загрузчик - это первые 256 команд, которые загружаются первыми, и на этот код передаётся управление. Далее этот код организует загрузку основной программы. В стандартной заготовке загрузчика нет инициализации SDRAM (кажись закомментировано), т.к. в каждом конкретном случае настройки сви - как уже и говорил: ставим своё, компиляем, указываем в качестве загрузчика. Ещё, поставил Vdsp для блэкфинов( 3,1 валялся), там есть каталог VisualDSP\Blackfin\ldr. Точно такой-же как и для шарков. Там и стандартные (уже скомпилированные dxe), и исходники этих заготовок. Безёте, смотрите ту что Вам нужна, и делаете как я для шарка.
  14. Добавлю к тому что сказал Apast. На 21ххх (Sharc) делал так: брал стандартный загрузчик, правил строчки, которые отвечают за инициализацию железа (в маём случае это была SDRAM). Затем этот *.dxe указывался как загрузчик в основном проекте. Если так не делать - не представляю почему у вас вообще что-то грузилось, может просто случайно совпали настройки SDRAM?
  15. Выскажу своё IMHO по поводу сравнения. Как-то общался, со знакомым, у них на конторе используют МоделСим. Вердикт такой, Актив во многом удобнее, и интуитивнее. Но у него есть 2 минуса: - чаще случаются глюки (типа описанного выше); - слабее с опциями симулятора (1 раз мне не хватало того что было в моделсим). Для себя использую Актив, за всё время на глюк нарвался только 1 раз, а удобство и интуитивность - на высоте. Особенно учитывая что FPGA это только часть работы. Актив с перерывом в месяц вспоминается с ходу.
  16. Собственно Sobj. Через поиск не нашёл - как в WG2004 поставить черту над текстом (на схеме)? Никак не найду, создал элемент, а как показать инверсию чертой - не найду... Подскажите.
  17. Так а в чём задача? Почему именно поф? Напишите, может чего и подскажут.
  18. А зачем? Возможно ваша потребность резрешается другим способом...
  19. Тогда такой вопрос, перевод проекта из DC в DxD далается легко? Где-то видет тему, что были вопросы с библиотеками. Сколько времени и сил займёт перебрасывание проекта, какие подводные камни?
  20. Не пинайте, если обсуждалось, искал, дельного ничего не нашёл. Хочу попробовать WG, судя по описанию, схёмы можно вводить как в DesignCapture так и DxDesigner. Сложилось впечатление, что DxDesigner более прогрессивный, но есть вопросы интеграции с ExpeditionPCB. Кто работал с DxDesigner в связке с ExpeditionPCB, порекомендуете, если нет наработок, с чистого листа, какие плюсы и минусы, на что лучше ориентироваться для ввода схем. Да, по версиям, у меня есть версии 2002, стоит ли лить 2004(5).
  21. Насколько я помню, там параллельный интерфейс эмулируется, а по своей сути флэшка - последовательная. Скорость действительно не высокая получается, если память не изменяет что-то в районе 10 - 15 мГц SPI. А вообще - читайте доку, там всё честно и подробно описано. Кстати, я готовый параллельный интерфейс не использовал, он несколько для меня избыточен был - сам поменьше сделал
  22. А чем не устраивает библиотечная ф-я (atan2 (y, x))? Слишком медленно?
  23. Дык ведь есть RTAI Linux например - вроде как реал-таймовая ОС? RTAI - это кажись расширение для Linux, а не отдельная операционка.
  24. Заблуждение. Важнейшим преимуществом Verilog/VHDL является возможность моделирования проектов как на функциональном уровне (удобство, скорость, отлавливается большинство логических ошибок), так и возможность моделирования не только синтезирумой части (того, что в ПЛИС), но и всего окружения. Например, можно промоделировать общение между ПЛИС и SDRAM, модель SDRAM можно скачать с сайта производителя. И т.д., примеров не счесть. А AHDL при всей своей простоте, стройности и эффективности - synthesis only, никакого моделирования. Поэтому реально сложные проекты делать только с его помощью - дело трудоемкое и нудное. Полностью поддерживаю, сам именно по этим причинам отказался от AHDL, хотя пока работаю только на Альтере. Окружение может быть и DAC, ADC и.т.п (модельки сам пишу - они не сложные) - очень удобно.
  25. Хмммм.... и локальной и глобальной делал переменную, но кладёт он её исключительно в seg_dmda. Альтернативный хиип не делал, карта памяти представленна выше. Тут немного путаница возникла, в терминах. Сама переменная должна лежеать в seg_dmda, а вот данные по ней (блок памяти полученный по malloc) - уже в сегменте heap, если другой не переназначен. В исходном вопроссе именно про саму переменную спрашивали.
×
×
  • Создать...