Перейти к содержанию
    

Maverick_

Модератор
  • Постов

    3 861
  • Зарегистрирован

Весь контент Maverick_


  1. извини как то про поиск и не вспомнил :( Почитал, конечно у меня, уступает в информативности документ. Что я действительно забыл так про описание модулей памяти, так как оно разное в разных САПР. Я не написал про заголовок файла (в котором в виде коментария можно поместить краткие сведения о версии HDL кода и информацию о функционированию блока, и т.д.) и про тест-бенчи ничего не написал
  2. Руководствуясь книгой (System-On-Chip - Design and Test) указанной Dokа я попытался (по требованию моего начальства) соорудить некий переводной документ в котором привожу требования (положения) по написанию "качественных и понятных" программ HDL языке. Во вложенном архиве "1" оригинальный текст (страницы из книг) и распознанный текст в Word. Во вложенном архиве "2" это то что я так сказать "состряпал"(красным выделено то что у меня не получилось коректно перевести). Как ранее говорил про это des00 "ИМХО нужно в обязательном порядке оговорить соглашение об именах, правилах и принципах проектирования !!!" P.S. Хотелось бы услышать как замечания так и предложения :)
  3. А кто его знает, каков вопрос таков ответ :) Все может быть ;) тип integer Vhdl конечно поддерживает
  4. Перевести дробную константу в целое (умножив на 10 необходимое N раз) и работайте с арифметикой как целочисленной, а конце преобразовать результат обратно (разделив на 10 необходимое N раз) или использовать(зайдите на opencores.org и скачайте реализацию ядра FPU)/разработать блок работающий с плавающей запятой. P.S. По языкам проектирования на ПЛИС (FPGA programming languages) есть отдельная ветка http://electronix.ru/forum/index.php?showforum=16
  5. Если нужно могу поделиться на русском языке - перевод, только не помню какой спецификации 2.0 или 2.2
  6. Вы забываете про тактовую частоту и строку "if clk'event and clk = '1' then" иначе у Вас будут не тригеры, а защелки. Должно быть наподобии SET_SIGNALS: process(ADREN, clk) begin if clk'event and clk = '1' then if (ADREN='1') then --Если разрешён доступ, то выставляем соответствующие сигналы DEVSELn<='0'; TRDYn<='0'; DIREN_in<='1'; --Открытие буферных элементов для входнях сигналов else DEVSELn<='1'; TRDYn<='1'; DIREN_in<='0'; end if; end if; end process SET_SIGNALS; Передачу сигналов с входа на выход можно делать и вне процесса. В VHDL кроме сигналов есть и переменные(variable), которые видны внутри данного процесса и переменным присвоение происходит без всяких задержек. P.S. Если это для контролёра PCI зайдите на opencores.org и скачайте реализацию ядра интерфейса шины PCI и посмотрите, как там это сделано. Просто PCI это не для новичка который только начинает разбираться в VHDL - это мое субъективное мнение :)
  7. Нужна книга

    Хотелось бы почитать книгу Петр Бибило "Система проектирования интегральных схем на основе языка VHDL. StateCAD, ModelSim, LeonardoSpectrum" Поделитесь пожалуйста! Особенно интересует главы посвященные ModelSim и StateCAD Здесь можно ознакомиться с содержанием книги и скачать архив с исходными кодами примеров VHDL описаний из книги http://www.bsuir.by/vhdl/vhdlcoding/project
  8. Доброго времени суток! Сегодня случайно наткнулся на Programming Tools for Xilinx на http://www.xilinx.com/support/download/index.htm У меня вопрос данное ПО зависит от версии установленного пакета ISE, например у меня в данный момент версия ISE 8.02.03, я например могу поставить данную программу и программировать ПЛИС через нее, и/или программировать ПЛИС например не устанавливая ISE?
  9. Раскрываешь меню Синтеза, там будет Просмотр RTL Shemathic - он и покажет все схемотехнику созданную в макросах, а Просмотр Shemathic Technolodgy (кажется так) - он покажет все схематехнику на самом низком уровне, т.е. раскроет все макросы (счетчики, сумматоры и т.д.)
  10. Просто когда я добавил строку count <= cnt; вне процесса и только тогда я увидел нормальные осцилограммы работы на осцилографе :) И про это прочитал в статейке. http://chipnews.gaw.ru/html.cgi/arhiv_i/99_02/stat-33.htm Тоже самое во вложении А сейчас это использую уже как говорится на автомате и не задумываясь. Синтезатор меня понимает :) :) :) Coding_FPGA.rar
  11. Можно поподробнее, что за навороты Вы имеете ввиду??? И почему нечитаемо, в чем сложность кода??
  12. Извини, еще вопрос, а что в отчете Synthesis Report, пишется? По логике вещей там должно писаться, что-то в таком стиле ========================================================================= * HDL Synthesis * ========================================================================= Performing bidirectional port resolution... Synthesizing Unit <XXX>. Found finite state machine <FSM_0> for signal <s_present>. ----------------------------------------------------------------------- | States | 128 | | Transitions | 128 | | Inputs | 0 | | Outputs | 128 | | Clock | clk (rising_edge) | | Clock enable | en (positive) | | Reset | enab (negative) | | Reset type | asynchronous | | Reset State | s0 | | Power Up State | s0 | | Encoding | compact | | Implementation | LUT | ----------------------------------------------------------------------- Found 16-bit adder for signal <$addsub0000> created at line 98. Found 16-bit comparator lessequal for signal <$cmp_le0000>. Found 16-bit adder for signal <$share0000> created at line 114. Found 8-bit up counter for signal <data>. Found 8-bit register for signal <data_int>. Found 8-bit register for signal <data_out>. Found 1-bit register for signal <en>. Found 1-bit register for signal <enab>. Found 8-bit up counter for signal <num>. Found 8-bit register for signal <receive>. Found 16-bit register for signal <rx_state>. Found 1-bit register for signal <tx_int>. Found 16-bit register for signal <tx_state>. Summary: inferred 1 Finite State Machine(s). inferred 2 Counter(s). inferred 59 D-type flip-flop(s). inferred 2 Adder/Subtractor(s). inferred 1 Comparator(s). Unit <XXX> synthesized. HDL Synthesis Report Macro Statistics # Adders/Subtractors : 2 16-bit adder : 2 # Counters : 2 8-bit up counter : 2 # Registers : 15 1-bit register : 11 16-bit register : 2 8-bit register : 2 # Comparators : 1 16-bit comparator lessequal : 1 Там ты должен увидеть что применен правильный макрос для соответствующего описания цифровой схемы. ВСЕ ПРАВИЛЬНО: Конструкция: count <= count +1; дает сумматор на выходе а конструкция, вида: count <= count + "00000001"; даст счетчик. Ниже привожу пример 8 разрядного счетчика Описание портов: clk − вход тактовой частоты; en − вход разрешения; clear − вход сброса счетчика; count – 8 разрядный выход. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is Port ( clk : in std_logic; en : in std_logic; rst : in std_logic; count : out std_logic_vector(7 downto 0)); end counter; architecture behavioral of counter is signal cnt: std_logic_vector (7 downto 0):= "00000000"; begin pr_d_e: process (clk, en, cnt, rst) begin if (rst = '0') then cnt <= (others => '0'); elsif (clk'event and clk = '1') then if (en = '1') then cnt <= cnt + "00000001"; end if; end if; count <= cnt; end process pr_d_e; end behavioral; Попробуй, надеюсь получится! Удачи!!!
  13. Почитай, может это одно и тоже что предлагает CaPpuCcino, но книжка действительно стоящая Writing.Testbenches.Functional.Verification.of.HDL.Models - Bergeron.pdf Самые-самые основы написания Testbenches во вложении распространение закрытых материалов не приветствуется в открытых разделах.
  14. ок :) Залил в …/ upload/Books/VHDL/FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version.pdf
  15. Вопрос: Заливать книгу на местный FTP или не нужно?
  16. Мне понравилась эта книга и решил поделиться :) . Прошу модераторов простить, если не в тему. Книга на английском языке FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version Описание A hands-on introduction to VHDL synthesis and FPGA prototyping Hardware Descriptive Language (HDL) and Field Programmable Gate Array (FPGA) devices allow designers to quickly develop and simulate a sophisticated digital circuit, realize it on a prototyping device, and verify the operation of its physical implementation. As these technologies have matured, they have become accepted mainstream practice so that it is possible to use a PC and an inexpensive FPGA prototyping board to construct a complex digital system. This book uses a "learn by doing" approach to introduce the concepts and techniques of VHDL and FPGA to designers through a series of hands-on experiments. FPGA Prototyping by VHDL Examples provides: * A collection of clear, easy-to-follow templates for quick code development * A large number of practical examples to illustrate and reinforce the concepts and design techniques * Realistic projects that can be implemented and tested on a Xilinx prototyping board * A thorough exploration of the Xilinx PicoBlaze soft-core microcontroller Although the book is an introductory text, the examples are developed in a rigorous manner and the derivations follow strict design guidelines and coding practices used for large, complex systems. It lays a solid foundation for students and new engineers and prepares them for future development tasks. FPGA Prototyping by VHDL Examples is an indispensable companion text for introductory digital design courses and also serves as a valuable self-teaching guide for practicing engineers who wish to learn more about this emerging area of interest. Review "It's recommended to anyone looking to get started with FGPA prototyping using VHDL." (Electronic Design Online, February 4, 2008) Ссылка для скачки: http://rapidshare.com/files/122616140/3F80...8B50590A7C5.rar | 16642 KB Password www.freebookspot.com P.S. Если надо могу залить на местный FTP.
  17. Согласен :beer:, да не совсем. Косвенно может, т.к. производится выбор среднего из ранжированной последовательности (упорядоченной по возрастанию) значений в окне
  18. Извините ошибся не правильно понял, так пришлось полностью отредактировать сообщение.
  19. Почему? Вопрос стоит "Алгоритмы усреднения сигнала" и не уточняется линейное или не линейное усреднение
  20. Например медианная фильтрация или по среднеарифмитическому. Смотри вложение (описание + VHDL программа). Да
  21. Возьми снеси свою и поставь нормальную Windws со всеми нужными SP и обновлениями и будет тебе счастье!!! Интересно как у тебя еще пакет проектирования поставился/работает?
  22. Примерно такое же обсуждается в http://electronix.ru/forum/index.php?showtopic=48764 На эту тему есть статья может поможет
  23. Поделитесь, плиз, данной литературой (книги Ben Cohen, Janic Bergeron и как делается обертка - wrapper, black-box), либо дать ссылку на сайты где могу почитать об этом
×
×
  • Создать...