Перейти к содержанию
    

Maverick_

Модератор
  • Постов

    3 858
  • Зарегистрирован

Весь контент Maverick_


  1. Доброго времени суток ВСЕМ! Модули UART даю от разных производителей :) Язык описания вроде VHDL Совет попробуй зарегистрироваться на Altera, Xilinx и с фтп скачать примеры и рекомендации. Я работаю с Xilinx так это он выложил (в Application Note) для микросхем CPLD, вопросы могут быть только с языком описания модулей и если там применяется блочная память. Удачи!
  2. Спасибо за оперативность!!! :a14: Сейчас буду смотреть.
  3. Если можешь то выложи пожалуйста VHDL исходник комплексного NCO (если приложишь описание исходника (для лучшего понимания) - будет еще лучше). Заранее благодарен
  4. "Не могу понять, как привязать физические пины к коду VHDL. Вобще, возможно ли реализовать эту идею на имеющейся ПЛИСке? " Привязка физических пинов делается не в коде VHDL, а например в Xilinx это утилита Assign Package, либо в ucf файле. Извини с альтерой не работал :crying:
  5. Доброго времени суток! Очень прошу помогите перевести пожалуйста выше приведенный код на vhdl "32-Bit Fixed Point Divide". Очень нужен , пожалуйста, в verilog я ничего не понимаю :crying:
  6. Извините, но я там не нашел на vhdl "32-Bit Fixed Point Divide". :(
  7. Доброго времени суток! помогите пожалуйста перевести с Verilog на VHDL. это модуль был взят с http://groups.google.com/group/comp.lang.v...5b1b43e9c222c0f The divide.v file shown below does not seem to work, i.e. quotient stays at '0' and remainder gives incorrect reading regardless of input values ! ------------------------------------------------------------------------------------------------------------------------------------------------------- // // File divide.v // // Unsigned/Signed division based on Patterson and Hennessy's algorithm. // Description: Calculates quotient. The "sign" input determines whether // signs (two's complement) should be taken into consideration. // module divide( ready, quotient, remainder, dividend, divider, sign, clk ); input clk; input sign; input [31:0] dividend, divider; output [31:0] quotient, remainder; output ready; reg [31:0] quotient, quotient_temp; reg [63:0] dividend_copy, divider_copy, diff; reg negative_output; wire [31:0] remainder = (!negative_output) ? dividend_copy[31:0] : ~dividend_copy[31:0] + 1'b1; reg [5:0] bit; wire ready = !bit; initial bit = 0; initial negative_output = 0; always @( posedge clk ) if( ready ) begin bit = 6'd32; quotient = 0; quotient_temp = 0; dividend_copy = (!sign || !dividend[31]) ? {32'd0,dividend} : {32'd0,~dividend + 1'b1}; divider_copy = (!sign || !divider[31]) ? {1'b0,divider,31'd0} : {1'b0,~divider + 1'b1,31'd0}; negative_output = sign && ((divider[31] && !dividend[31]) ||(!divider[31] && dividend[31])); end else if ( bit > 0 ) begin diff = dividend_copy - divider_copy; quotient_temp = quotient_temp << 1; if( !diff[63] ) begin dividend_copy = diff; quotient_temp[0] = 1'd1; end quotient = (!negative_output) ? quotient_temp : ~quotient_temp + 1'b1; divider_copy = divider_copy >> 1; bit = bit - 1'b1; end endmodule причина правки: используйте теги CODE для листингов программ
  8. Можно попробовать AcselDSP или SystemGenerator которые позволяют генерировать код (VHDL Verilog) из Matlab
  9. multi-source может возникать при назначении одному и тому же сигналу разных значений в разных процессах, опишите все присвоения этому сигналу в одном процессе, например используя технологию написания КА. И проверьте наличие в микросхеме тристабильных буферов, как было сказано выше!
  10. Привет всем! К сожалению :crying: , я не имею доступа на фтп. Кто-нибудь может дать проверенный уже в работе ядро процессора m8051, желательно на vhdl, с возможностью программирования на С стандартной Сигналовской программой. На http://www.opencores.org/ я смотрел, есть но на счет стабильности и работоспособности не уверен. За ранее благодарю за помощь!
  11. Переменные среды в Windows проверь ModelSim там обязательно должен присутствовать, у меня такое имя переменной PATH значение C:\Modeltech_xe\win32xoem имя переменной LM_LICENSE_FILE значение C:\Modeltech_xe\win32xoem\license.dat Версия ModelSim 6,1е; ISE 8.2.03 Успехов!
  12. извини, а то что Вы в прошлый раз тоже самое спрашивали и там есть некоторые ответы - они Вам не подходят? И зачем заново поднимать этот вопрос? Пиши уже в в своей теме и не делай повторяющихся тем?
  13. Я предлагаю Вам использовать Xilinx http://www.xilinx.com/ AccelDSP Synthesis Tool MATLAB language-based design tool for implementing high performance DSP systems. AccelDSP Synthesis Tool AccelDSP™ Synthesis Tool is a high-level MATLAB® language based tool for designing DSP blocks for Xilinx FPGAs. The tool automates floating- to fixed-point conversion, generates synthesizable VHDL or Verilog, and creates a testbench for verification. You can also generate a fixed-point C++ model or System Generator block from a MATLAB algorithm. AccelDSP synthesis tool is a key component of the Xilinx XtremeDSP™ solution that combines state-of-the-art FPGAs, design tools, intellectual property cores, and partnerships, as well as design and educational services. и System Generator for DSP The leading-edge modeling and implementation tool for high-performance DSP systems. System Generator for DSP System Generator for DSP is the industry’s leading high-level tool for designing high-performance DSP systems using FPGAs. The tool provides abstractions that enable you to develop highly parallel systems with the industry’s most advanced FPGAs, providing system modeling and automatic code generation from Simulink® and MATLAB® (The MathWorks, Inc.). System Generator is a key component of the Xilinx XtremeDSP™ solution that combines state-of-the-art FPGAs, design tools, intellectual property cores, and partnerships, as well as design and educational services. которые Вам позволят без глубоких знаний языков программирования положить на ПЛИС. Это мое мнение ;) В MATLAB на сколько я знаю уже вложены алгоритмы обработки видео, правда написаны на языке MATLAB. Когда переведешь алгоритм на язык программирования аппаратуры тебе выше названные программы напишут сколько это занимает места попробуешь поменять кристаллы, из расчета чтобы где-то 25% оставалось свободного пространства Вот и все УДАЧИ!!!
  14. Не знаю, но мне кажется нужно еще установить дополнительно библиотеки для моделирования ;) Просто там прописаны все возможные задержки для выбранного кристалла и модуля который Вы генерируете.
  15. Не знаю насчет плотности шума и других свойств генератора шума который предлагаю, даю то что я реализовывал, правда простейший наверное :excl:
  16. Я об этом тоже недавно узнал Mad Maks. Кстати леон 3 уже есть, но без математического со-процессора (они его продают за отдельные деньги), к сожалению. Говорят что новый более быстрый и более оптимизирован. :crying: WingLion: Смешной вопрос, а почему именно на VHDL? AHDL совсем не подходит? Я не знаю языка AHDL и Xilinx его поддерживает :05: И как я знаю Altera не выпускает продукцию под космос WingLion.
  17. Спасибо Doka за предложенный процессор Если не сложно кто использовал процессор LatticeMico32 опишите сколько тактов тратиться на выполнение основных операций (особенно деление и вычисление тригонометрических функций), так сказать основные характеристики и как он в работе стабилен или сбоит?
  18. Доброго времени суток! Нужно организовать 32-bit процессор в FPGA с хорошей переферией на VHDL, но есть маленький нюанс код должен быть полностью открыт, соответственно Microblaze не подходит. Нашел на www.gaisler.com процессор, но там математический со-процессор закрытый вроде (я так понял с сайта). Если у кого чего есть поделитесь, плиз Процессор нужен для использования в космической технике. Да и желательно и компилятор под него.
  19. СПАСИБО БОЛЬШОЕ CodeWarrior1241 :a14: !!! Сейчас будем разбираться. Только возник вопросик в схеме есть SystemACE Controler. Если не сложно что это такое? Я так понимаю он нужен для программирования с Flash карты памяти. В отладочной плате ML402 его роль выполняет запрограммированная CPLD. А здесь??? Если я не прав то поправьте меня!
  20. Помогите правильно подключить VirtexII (XC2V3000-5BG728) на плате. Если можно поделитесь схемками. Может есть какие то особые требования для разводки (особенно для BG728), так как делаю впервые и стоимость микросхемы велика, как говорится я не трус , но я боюсь! описания на микросхему имею и могу поделиться.
  21. А в электронном варианте не можете выложить, чтобы почитать или ссылку дать на файл
×
×
  • Создать...