Перейти к содержанию
    

AnatolySh

Участник
  • Постов

    270
  • Зарегистрирован

  • Посещение

Весь контент AnatolySh


  1. У функции также м.б. несколько выходов: https://stackoverflow.com/questions/25396647/understanding-system-verilog-function-return-values
  2. Сказали а, говорите сразу и б: управляйте ModelSim-ом с помощью tcl. Потратите время на изучение, зато потом будете сильно экономить на отладке. Не претендую на непогрешимость, но вот (в скрепке) пример: вдруг, чего себе возьмёте? Любые вопросы и замечания приветствуются. ARINC_TX_sim.rar
  3. Вот на этой схеме прямо написано, что 50МГц идёт на вывод 64. А, вообще, давайте проект: посмотрим, что Вы там делите и чем? )
  4. Ну и заказывайте на али адаптер и за 2-3 недели (минимум гарантированно 2 за 50 баков видел) вам его привезут. За это время разведёте, изготовите и спаяете плату под адаптер именно под себя, и не придётся брать чью-то плату и подстраиваться под чужую разработку. Всё-равно не заметите, как пролетят эти три недели )))
  5. Квартус пролеченный? 32-х битный тоже зависает? Поставьте Web Edition и, при зависании любого варианта, можете с полным правом тормошить интеловцев.
  6. У Вас попросту нет другого пути, кроме как научиться воспринимать документацию на языке оригинала. Английский сейчас - это всё. Если Вам не ответят на этом форуме, Вы с лёгкостью сможете обратиться на иностранные или даже в поддержку к самим Аналоговым Девицам ) И, если сможете правильно сформулировать Ваш вопрос (на английском, конечно) - с очень большой долей вероятности получите сразу же полный и исчерпывающий ответ. Если Вы - профессионал, то у Вас не должно быть сложностей с английским.
  7. Flip-fl0p советовал работать только от одного клока: у Вас это, как понимаю, clk. И в списке чувствительности, помимо него, имеет право быть (для проекта комильфо) только, если, конечно, он Вам нужен, ещё асинхронный глобальный сброс. А у Вас в этих списках зоопарк. Кстати, боюсь предложить Вам ещё включить (если я правильно помню называние) Design Assistant ))) И пока перестаньте нас радовать Вашим RTL: давайте сначала код вылизывать. Лучше выкладывайте экран функционального моделирования для этого модуля.
  8. Чем отличается иностранная документация от нашей - в ней можно найти ответы почти на все вопросы. Что нельзя найти - ищется на форумах. Такое ощущение, что у Вас трудности с английским )
  9. Спрошу коротко: читать здесь (ну и все содержательные посты в этой ветке), ставить отсюда?
  10. Под коррекцией я имею в виду то, что описано в четвёртом уроке серии, которую я называл в самом первом посте этой темы: проще говоря возможность не только созерцать в окне Waveform то, что вывел туда Active-HDL, но и иметь возможность самому руками по своему усмотрению в том же окне подвигать фронты, изменить значения сигналов, в общем создавать времянку сразу в графическом виде.
  11. Доброго всем. Стоит задача сравнения результатов моделирования пакетов различных производителей. Берём простейший testbench: `timescale 1ns / 100ps // `define PERIOD_OF_CLK 10 // 100MHz => 10ns with respect to timebase (1ns) `define HALF_PERIOD_OF_CLK (`PERIOD_OF_CLK / 2) `define END_TIME 50 // 50ns module test_tb; reg clk_tb = 1'b0; initial begin $dumpfile("test_tb.vcd"); $dumpvars( 1, clk_tb); end initial begin #0 clk_tb = 1'b0; forever #`HALF_PERIOD_OF_CLK clk_tb = !clk_tb; end initial begin #`END_TIME $finish; end endmodule И моделируем там и там. В результате получаем два vcd, сравнивая которые видим два существенных отличия: 1 в vcd от Aldec-а в последней строке есть временная метка #500 (файл от Mentor-а оканчивается на предыдущей строке) и 2 в vcd от Mentor-а в строке 14 есть временная метка #0 (файл от Aldec-а этой метки не имеет). Поясните, плиз, кто может. Для примера прилагаю проект с каталогами скриптов Mentor-а, Aldec-а и найденный в интернете java-скрипт сравнения двух vcd, вокруг которого всё и крутится (на исполнения запускается CompareVCD\comparevcd.cmd, в котором прописать две переменные с путями к исполняемым файлам Mentor-а и Aldec-а: set Mentor_bin_path=d:\altera\13.0sp1\modelsim_ase\win32aloem set Active_HDL_bin_path=C:\Aldec\Active-HDL-10.4\bin ) CompareVCD.rar
  12. Тогда ситуация такая же, как и с Modelsim-ом: как раз сегодня работая и там и там с исходниками с комментариями на русском, сделал вывод, что пора отказываться от кириллицы - самому же потом проще будет.
  13. Получил, например onerror { resume } transcript off add wave -noreg -logic {/ARINC_freq_divider_tb/MRST_tb} add wave -noreg -logic {/ARINC_freq_divider_tb/CLK24MHz_tb} add wave -noreg -hexadecimal -literal {/ARINC_freq_divider_tb/ARINC_FREQ_tb} add wave -noreg -logic {/ARINC_freq_divider_tb/ARINC_TX_clk_ena_tb} cursor "Cursor 1" 50us transcript on Вызываю и он (скрипт) делает тоже самое, что я раньше делал руками - рисует ту же времянку. Вопрос же ставился так: могу ли я доступными мне средствами (а ниже указано, что мне доступен только Accelerated Waveform Viewer) делать то, что мог делать Standard Waveform Viewer/Editor - т.е. корректировать времянку по-моему усмотрению. Вроде как ответ очевиден (уже из называния): нет. Кроме того в Help в разделе Active-HDL Help -> User Guide -> Active-HDL Tools - > Standard Waveform Viewer/Editor есть такое примечание: NOTES: The Standard Waveform Viewer can no longer be used to display simulation results and only allows viewing of the standard waveform files (*.awf). The Accelerated Waveform Viewer is the tool that displays simulation data. The Standard Waveform Viewer/Editor is not available in the 64-bit edition of Active-HDL.
  14. Как начинающий работать с этим инструментом не нашёл ничего лучшего, чем пройти 15 уроков, опубликованных в КиТ. Основное отличие - уроки построены на основе версии 7.1, в то время как работать хочется с самым последним (или почти последним), имеющимся на настоящее время в доступе. Итого, в вышеозвученной серии четвёртый урок посвящён работе с редактором временных диаграмм. Беглый взгляд на наличие оного инструмента (именно редактора) в современной версии софта показало, что существуют два варианта: 1 Accelerated Waveform Viewer 2 Standard Waveform Viewer/Editor. Как понимаю, отца русской демократии спасёт второй вариант, но ... дело в том, что он никак не может найти механизм переключения с одного инструмента на другой (по умолчанию включён Accelerated Waveform Viewer без каких-либо редакторских возможностей). Кто-то чего по этому поводу сказать может? (Временные диаграммы, в принципе, редактировать не жизненно необходимо: просто хотелось понять).
  15. И что, снова в программаторе выбирать ep2c8 ... (но что дальше?) или у 5578 свой bsdl есть?
  16. Спрошу в этом треде. JTAG от 5578 кроме как для заливки прошивки и использования "по прямому назначению" как-либо (типа SignalTap-a) ещё использовать можно? Вообще кто как JTAG 557х использовал?
  17. IO Checker тоже условно бесплатный. Особенно для вас )
  18. Есть такой софт: HDL Works IO Checker - там, помимо прочего, есть наглядная картинка распиновки в частности для пятого циклона.
  19. Странный ТС: Невозможно отправить это сообщение, так как получатель отключил свой личный ящик, или он попросту переполнен. Это личное сообщение не отправлено
  20. Кстати, у Альтеры есть презентация по теме: Quartus II Software Tcl Scripting (ODSW1190) И прямая ссылка на материалы для тех, кто не хочет регистрироваться.
×
×
  • Создать...