Перейти к содержанию
    

AnatolySh

Участник
  • Постов

    270
  • Зарегистрирован

  • Посещение

Весь контент AnatolySh


  1. Почему у вас вообще возникли тактовые частоты такого вида? В синхронном проекте этого быть не должно!
  2. Плата кита или самодельная? В любом случае желательно видеть схему включения кнопок и светодиода: вдруг после кнопок и перед светодиодом ещё что-либо инвертирующее стоит?
  3. initial begin h_count <= 0; v_count <= 0; end ИМО относится к несинтезируемым конструкциям: хотите сделать начальную установку - заводите внешний сброс в Ваш блок. А самое главное reg [9:0] h_count; // line position никогда не достигнет localparam LINE = 1096; // complete line (pixels) в строке if (h_count == LINE) // end of line
  4. Раз разговор его коснулся, что является комильфо для автоматизированного документирования проектов на HDL (особенно интересует SV) в настоящее время? Я правильно задал вопрос?
  5. Всем спасибо, достаточно было почитать help: // (C) Copyright 2010 Aldec, Inc. // // File : mux.v // Author : Mr. Smith // Date : 03/21/10 // Version : 1.0 // Abstract : This file contains the behavioral description // of the trigger // // Modification History : // Date By Version Change Description //============================================================ // 11/05/09 Mr. Smith 1.0 Original // 03/21/10 Bob Broun 1.1 Structural model is added // //============================================================
  6. /////////////////////////////////////////////////////////////////////// // Abstract : file.sv // Author : me // By : my company // // Description : // Abstract : // History : // Version : 2.0 // Date : 25.03.2018 // Change : 25.03.2018 // Modification : 28.02.2019 /////////////////////////////////////////////////////////////////////// ALINT выдаёт: # ALINT: Warning: D:/file.sv : (33, 1): "RMM.VLOG.5.2.4.1" Not all required tokens are present in the file header. Following token(s) are expected: "Abstract" (ABSTRACT), "Author" (AUTHOR), "By" (BY), "Change" (CHANGE), "Date" (DATA), "[0-9]+\/[0-9]+\/[0-9]+" (DATA_LITERAL), "Description" (DESCRIPTION), "\n" (END_LINE), "File" (FILE_NAME), "History" (HISTORY), "any_ASCII_characters" (IDENTIFIER), "[0-9]+" (INTEGER), "Modification" (MODIFICATION), ":" (SEPARATION_CHAR), "Version" (VERSION), "[0-9\.]+" (VERSION_LITERAL). Level: Recommendation 1. Что не так, где посмотреть то, что написано в RMM.VLOG.5.2.4.1 и каков пример header-а Verilog (SV) файла?
  7. Всем добра! Тема, собственно. Просьба подсказать пароли и явки.
  8. Это понятно. Немного повозиться пришлось, чтобы понять, как в МоделСим затащить эти библиотеки. Примем, ели намекнёте, по каким адресам она стучит? Да, даже, если и стучит, то что с того?
  9. Наверное, если свой софт напишете, то сможете )
  10. Вам хочется разбираться с чужими кодами, а не писать свое, как рекомендовали? Взгляните и на мой самописный код, которым я успешно работал с cat24wc256. Но я всё-равно присоединяюсь к вышесказанному и советую вам самому разобраться в вопросе ни на кого, кроме стандартов и datasheet-ов, не глядя. i2c.zip
  11. Скачаете (если надо) - маякните (в любом случае)
  12. Т.е. производительность Modelsim (не ОЕМ) > производительности Modelsim (ОЕМ) > производительности Modelsim (ОЕМ) Starter Edition? Т.е. если у меня стоит (поставленная когда-то для изучения) Questa, я могу смело сносить Modelsim любой версии и забыть про него, как о рудименте Quartus-а? Спасибо
  13. Почему, если не секрет? Раз уж мы заговорили про "чистый" ModelSim: кто из них чище, и чем отличаются его версии: SE, DE, PE и прочие (если есть)? Или вопрос смысла не имеет, если в закромах лежит только одна версия: её и надо пользовать? )
  14. А если (удастся) перетащить библиотеки и старой версии ModelSim-Altera Edition в ModelSim-IntelFPGA Edition - это может дать дополнительные плюшки? Как вариант установить свежую версию ModelSim-а ("чистого", не Intel Edition-a) и скомпилить библиотеки из старого квартуса в него. Попытка скомпилить библиотеки только для Cyclone II из квартуса 13.0sp1 для ModelSim-IntelFPGA Edition успеха не принесла, ибо квартус говорит, что ModelSim-IntelFPGA Edition уже содержит в себе библиотеки (что есть правильно, но неправильно то, что он не содержит их все!)..
  15. Доброго всем! Работаю с Cyclone II (его русским аналогом), моделирую в Modelsim-e 10.1d (из Quartus-a 13.0sp1). Хочется взять Modelsim посвежее, тем более, что на сайте есть слова: Device support All Intel® FPGA devices (Including Intel® MAX® CPLDs, Intel® Arria®, Intel® Cyclone®, and Intel® Stratix® series Intel® FPGAs) Так вот вопрос: тварь ли я дрожащая или право имею?
  16. Спасибо, но как Вы объясните то, что EG не влияет (вроде бы) на ВАХ?
  17. Материал диода PVT322 по даташиту - арсенид галлия с EG=1.4. Взял модель rloc, добавил EG=1.4, картина не изменилась. Чувствую, что я в LTSpice что-то не так делаю... Для референса приложил схему LTSpice: вдруг, кто посмотрит и носом ткнёт в то, что не то? ) pvt322_pspice_model_editor_extracted.asc
  18. А вы что-нибудь внешнее, например Aldec ALINT, не пробовали пользовать?
  19. Ввёл, изменений не вижу. Если подозрение, что берётся не моя модель, а идеальная..
  20. Спасибо. Но, как и в случае с Pspice, табличное задание по File -> Create Spice file генерит модель .MODEL part0 D (IS=69.6429F N=1.716 BV=500 RS=6.91802 TT=5U CJO=5P VJ=750M + RL=1G) которая в PLSpice также моделируется неправильно: Просьба подсказать ЧЯДНТ? )
  21. Ещё бы самому научиться ) Рисует более-менее правильно (в нуле экстраполяция не верная): Модель, создаваемая по File -> Save As выглядит так: * pvt322 D model * updated using Model Editor release 16.3.0 on 10/26/18 at 12:53 * The Model Editor is a PSpice product. .MODEL pvt322 D + IS=36.116E-12 + N=1.9104 + RS=1.4932E-6 + IKF=35.182E-6 + CJO=1.0000E-12 + M=.3333 + VJ=.75 + ISR=100.00E-12 + BV=100 + IBV=100.00E-6 + TT=5.0000E-9 *$ При моделировании в LTSpice получаю Что не есть верно.
  22. Доброго всем! Есть входная ВАХ из даташита (на pvt322). Как по ней построить spice модель (достаточно typical) для дальнейшей работы? На одном из семинаров Mike Englehardt мне рисовал, но я ... (
×
×
  • Создать...