Перейти к содержанию
    

Vadim

Свой
  • Постов

    1 227
  • Зарегистрирован

  • Посещение

Весь контент Vadim


  1. Спасибо!!! Теперь все уложилось в моей голове :) ЗЫ Компилятор не должен падать от наглости, однако.
  2. Из Вашего ответа понял только, что неизвестно, что я сделал неправильно но так почему-то нельзя писать и никто никогда такого не видел. Запутался еще больше :crying: Спасибо за участие :) ЗЫ Зачем Вы в Вашем коде окружили тело таска словами-"паразитами" begin ... end??? ЗЫЫ Страшно мне...
  3. Добрый день. Questa постоянно ложится без объяснения причин, невозможно работать. Провел следствие и подготовил типа баг-репорт. Имеем файл "my_class.sv": class my_class; bit bit1, bit2; task my_task ( ref bit bit1, bit2 ); forever @ (*) begin this.bit1 = bit1; this.bit2 = bit2; end endtask endclass и файл "my.sv": `include "my_class.sv" module my; endmodule компилируем: vlog -novopt -sv my.sv получаем: # ** Error: my.sv(7): Internal error: ../../../src/vlog/vtokfile.c(334) nr == 1 Файлы прилагаю. Квесте не нравится вот это: forever @ (*) Что я сделал неправильно? Помогите, уважаемые гуру, только на вас и уповаю :crying: bag.rar
  4. А еще 2*2=4. Или я что-то пропустил и кто-нибудь из участников этой ветки употребил слово "site"? ЗЫ А вот интересно, какие значения есть у слова "сайт", кроме "сайт"? Не подскажете?
  5. Может это новый тип людей - человек-бот? Типа захотел - вошел в сайт. Причем весь и полностью. Захотел - вышел. Круто! Главное не зевать, а то вдруг сайт закроют...
  6. С нетерпением ждем появления SlickEdit 2009 :) http://community.slickedit.com/index.php?topic=4294.msg17628
  7. Конечно, добавьте. И присмотритесь к современным кульманам. На случай, если народ массово и с AD повалит. И про ластики и карандаши не забудьте
  8. А еще можно на кульман и миллиметровку с пикада свалить. Очень много преимуществ появляется. Если б я до сих пор на пикаде сидел, так бы и сделал :)
  9. Офигеть :blink: . Чего только люди не придумают, лишь бы акронисом не пользоваться :)
  10. Не-а. Не поможет :) К меня такое бывало неоднократно. Не помогало даже полное восстановление системного диска из слепка (!!!). Приходилось сносить винду и ставить все по-новой, пока не допер, что нужно почистить папочки System Volume Information на всех (!!!) разделах жесткого диска. Но по умолчанию винда не даст почистить эти папочки, нужно пошаманить с настройками.
  11. Как трогательно... Спасибо, что ограждаете разработчиков от риска получения доли от реализации, а то совсем стало невмоготу. Достали деньги проклятущие.
  12. Уважаемые знатоки, подскажите, пожалуйста, почему, если имеем ассоциативный массив: typedef enum logic[1:0] { ram_test = 2'b00, ram_com = 2'b01, ram_mask = 2'b10, ram_rea = 2'b11 } ram_type; bit[pWidth-1:0] mem[ram_type][2**pLength-1:0]; и инициализируем его так: foreach (mem[i, j,]) mem[i][j] = '0; он не инициализируется, а если так: for (int i = 0; i < 2**pLength; i++) mem[ram_test][i] = '0; for (int i = 0; i < 2**pLength; i++) mem[ram_com][i] = '0; for (int i = 0; i < 2**pLength; i++) mem[ram_mask][i] = '0; for (int i = 0; i < 2**pLength; i++) mem[ram_rea][i] = '0; то инициализируется? В стандарте сказано, что элементы ассоциативного массива создаются динамически при первом к нему обращении. Получается, в первом случае мы не обращаемся к элементам массива? По-моему, эти два варианта равнозначны. Questa 6.4a. Спасибо.
  13. Это действительно продукты разных фирм :)
  14. Я говорю о многократно используемых блоках - по сути мини-проектах печатных плат. Вставляем схему такого блока в виде иерархического символа в текущий проект столько раз, сколько нужно, синхронизируемся с файлом платы и получаем несколько готовых "мини-плат" в рамках текущего проекта, которые не нужно разводить, а следует только разместить на плате так, как будто Reusable block - это один компонент. А Вы о чем? Если данный топик не об этом, простите великодушно, возможно это последствия новогодних каникул :)
  15. OrCAD Capture??? :blink: Фсё. У меня "программа сбилась"(Це). Хотя... Я сам однажды сделал один проект в Logic - Expedition. И кросспробинг слепил :) Я, кстати, начинаю вспоминать свои проблемы с reuse - не получалось их должным образом оформить в logic.
  16. Э-э-э... :) Не помню, давно это было :) Но организовать комфортную работу с Reuse в Pads мне так и не удалось. Возможно, я просто не умею его готовить...
  17. Игра угадайка? В PADS есть Reuse. Бестолковый, правда. В Expedition тоже есть. Классный. Гы.
  18. Это баг лайаута, который разработчики категорически не хотят устранять. С этим ничего поделать нельзя. Ну разве что дождаться обещанного скрещивания с роутером.
  19. Например, вот так: // synthesis translate_off `include "timescale.vh" `include "logic_controller_pkg.vh" // synthesis translate_on ... Синтезатор не будет видеть эти две строки. Файлы, указанные в директивах `include, я просто включаю в проект. Ну дык в этом, по-моему, и заключается проблема :) Решение, повторюсь, такое: // synthesis translate_off `include "некий файл" ... // synthesis translate_on
  20. Подготовил простой пример, прогнал, все нормально, бага нет. Однако в моем проекте творятся чудеса -стоит заменить одну строчку с for эквивалентной строкой с foreach - окончания симуляции невозможно дождаться. Проект выкладывать не буду, попытаюсь вычленить баг самостоятельно, если это окажется действительно баг, а не ручки, тогда выложу. Быстро не обещаю, у нас уже каникулы :) С наступающим!!!
  21. Заметил, что при прочих равных условиях использование продвинутого foreach вместо обычного for дает офигенное замедление скорости симуляции (навскидку раз в 100). Кто-нибудь такое замечал или у меня ручки неумелые? Questa 6.4a
  22. Простите, если торможу, о каком баге речь? 2008 update1, точный буилд не помню, собирал на работе, сейчас нахожусь дома, под рукой есть 2007.a18, завтра вечером попробую собрать на нем.
  23. После того, как перескочил на SV, во избежание проблем, описанных выше, собираю проекты в Precision, соблюдая правила: 1. Определяю порядок включения файлов в проект. Это важно. 2. Все include-файлы тоже включаю в проект. 3. Все директивы `include должны быть заэкранированы. 4. Объясняю синтезатору, что все входные файлы - системвериложные. 5. Ну и для полного счастья магическое заклинание - setup_design -all_file_cunit_scope=true. Пока проблем не было, кроме своих иногда кривых рук и порядком поднадоевшего бага типа: # Warning: [45702]: "Бла-бла-бла: Initial value for бла-бла-бла is ignored for synthesis... dess00, Ваш проект собрал, как и обычно :)
×
×
  • Создать...