Перейти к содержанию
    

18 часов назад, Ruslan1 сказал:

Или можно и небольшие (малошариковые?) BGA на 4 слоях использовать и я зря их стороной обхожу?

BGA с шагом до 0,5 мм включительно разводятся по продвинутым нормам "Резонита", с переходными отверстиями на диагоналях между выводами. Даже не надо обращаться к технологам. Я просто отправлял проект через их сайт, не было проблем.

27 минут назад, Ruslan1 сказал:

Фиговая среда разработки? несоответствие документации и реального камня? Какое-то уж очень масло маслянное... 

Среда разработки мне очень понравилась. Самая быстрая из всех, с которыми я работал. Простая и интуитивно понятная. Я получил оценочную плату во второй половине дня, на другой день уже моргал там светодиодами.

Документация фиговата, это да. Но разобраться можно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

31 минуту назад, Ruslan1 сказал:

Мда. куда катится этот мир. Вижу 1NR-4 c такой памятью на моузере начиная от 20 баксов поштучно....

Цены на Маузере заряжены раз в ...дцать. Не надо на них ориентироваться...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 minutes ago, StewartLittle said:

Цены на Маузере заряжены раз в ...дцать. Не надо на них ориентироваться...

Я понимаю. Для меня это вершина, от  которой есть куда идти вниз :)

 

28 minutes ago, Yuri124 said:

А это хорошо? как я понимаю, внутри должен стоять преобразователь (LDO или импульсный с внешними элементами - индуктивностью). Если внутри LDO, и микросхема не мелкая - то надо это дополнительное тепло отводить.

В таком случае - если этого дополнительного тепла критичное количество - ИМХО внешний стабилизатор может оказаться предпочтительнее...

А, я еще и не смотрел подробно. Подумал что ух ты, ядро 3.3 вольта. Но если так, то, конечно, нужно считать тепловыделение и думать надо ли оно. Но такая возможность может быть полезна- если тепла мало, то почему бы и нет, экономия в номенклатуре (если это 1.2 больше никому не нужно).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

23 minutes ago, DSIoffe said:

BGA с шагом до 0,5 мм включительно разводятся по продвинутым нормам "Резонита", с переходными отверстиями на диагоналях между выводами

Можно переходные отверстия прямо внутри пада делать. 

Делал такое на БГА с шагом 1 мм - диаметром 0,2мм, сквозные, с заполнением чем-то электропроводным - только потому, что был референсный дизайн и скоростные дифф. линии.

Понятно, что это стоило доп. денег.

Сейчас хочу попробовать сделать плату с переходами на конт. площадках между верхним и вторым под ним слоем - диаметр отверстия 0,1 мм, лазерное сверление. Вроде как по словам изготовителей плат, в этом случае заполнение медью идет в общем технологическом цикле - т.е. как будто денег дополнительных за это брать не должны. Но при этом технологические нормы должны получиться менее жесткими. При переходах в сетке между шариками БГА сейчас на плате около 0,08 мм зазор между проводниками. Если переходы сделать на контактных площадках - ожидаю, что зазоры будут 0,1 или даже 0,11мм. Посмотрю, будет ли дешевле.

Ну и надежность плат по идее должна повыситься, и выход годных плат.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, Ruslan1 said:

Надо чтоб их срочно кто-то поругал, так не бывает чтоб не было нюансов.

Нюансы для меня:

 

1 более низкие скорости проектов по сравнению с Artix-7 :)

2 отсутствие встроенного симулятора

 

 

 

Плюсы GoWin LittleBee на примере GW1N-UV9QN88C6/I5:

 

1 корпуса QFN по сравнению с Artix-7 и Spartan-6

2 встроенная флеш память по сравнению с внешним SOIC у Lattice ICE40

3 все IP GoWin находятся в среде разработки, а не в интернете как у Lattice (машина разработчика offline)

4 указание speedgrade и температурного диапазона в PartNumber (C6/I5) а не как у Xillinx - вообще никогда не узнаешь что купил

5 наличие и цена по сравнению с Artix-7, Spartan-6

6 программирование без 6 джамперов по сравнению с Lattice

7 бонус - по умолчанию прошивка не считывается (защита от тиражирования)

 

 

 

По нюансам:

 

1 я купил отладку за несколько баксов, TangNano, с экранчиком вроде 800х600 - там проект выводит цветные полосы. Насколько я помню - PLL там разогнан до 200МГц и проект для полос на счётчиках по таймингам не сходится, хоть и работает.

2 IP ядро GoWin FFT на 64 точки имплементировалось для  GW1N-UV9QN88C6/I5 на частоте 50МГц.

3 Симулирую и разрабатываю теперь проект в Modelsim. GoWin изредко запускаю - проверить скоко заняло по ресурсам, как прошло по времянке и сделать прошивку или сгенерить IP.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Yuri124 сказал:

Можно переходные отверстия прямо внутри пада делать.

Мы тоже так сделали как-то раз, для шага 0,4 мм. Проводники/зазоры были по 0,075. Замучились из Китая ждать. Там как раз ковид разгулялся, фабрики стояли. Плюс "объективные" организационные причины. Итого срок получился под полгода и цена немыслимая совершенно, страшно вспомнить. Интересно, сейчас у нас такое делают? "Резонит" когда-то собирался.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 minutes ago, DSIoffe said:

Проводники/зазоры были по 0,075. Замучились из Китая ждать.

Заказывал опытные образцы - производство в Китае - где-то  500-1000 плат (это чтобы на коленке спаять пару плат для убедиться в работоспособности - т.к. пару десятков плат стоит почти как 500-600 плат) - полтора месяца от заказа до получения. Где-то с полгода тому назад. Ну и сейчас примерно те же сроки обещают + новогодняя задержка неделя-другая. Тоже шаг 0,4мм, 4 слоя с переходными 0,1 мм между верхним и ближайшим нижним, остальные - 0,2мм сквозные. Что-то тоже зазоры были 0,075-0,08, но дорожки вроде как не менее 0,1 мм делал. HighTg170 immersion gold + gold fingers.

На больших партиях цена - ну, раза в 4 дороже 2-слойки из обычного стеклотекстолита без золочения  (т.е. покрытие HAL). Похоже, эти контакты gold fingers много стоят - наверное, с треть всей платы (но и занимают они большой % платы)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день.
Есть ли у кого реальный работающий проект на Говине? Проект работающий на Spartan-6 не могу запустить на Говине.Клок 80МГц.
И не понятно что делать? Может быть 80MHz это для него много? Кристал: GW1NR-UV9QN88PC6/I5. Кристал заполнен всего на 30%.
Подскажите пожалуйста в чём может быть проблема?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 minutes ago, usonic said:

Есть ли у кого реальный работающий проект на Говине? Проект работающий на Spartan-6 не могу запустить на Говине.Клок 80МГц.
И не понятно что делать? Может быть 80MHz это для него много? Кристал: GW1NR-UV9QN88PC6/I5. Кристал заполнен всего на 30%.

Есть  на 48МГц - работает.

Т.е. снаружи приходит 64 МГц, PLL делает 48.

По репортам - Fmax 150-170МГц.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 minutes ago, _4afc_ said:

Есть  на 48МГц - работает.

Т.е. снаружи приходит 64 МГц, PLL делает 48.

По репортам - Fmax 150-170МГц.

Спасибо . У меня по репортам 90МГц, но не работает на 80. Попробую снизить .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 минуту назад, usonic сказал:

У меня по репортам 90МГц, но не работает на 80. 

Пугающее заявление. Это говин так разводит, или ошибки констрейнов в проекте?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 часов назад, Flood сказал:

Пугающее заявление. Это говин так разводит, или ошибки констрейнов в проекте?

Боюсь, что скорее второе + неподходящий стиль описания.

Хотя без исходников трудно судить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, Flood said:

 Это говин так разводит..?

Возможно и GoWin.

Сейчас обнаружил что код работавший на Spartan6 и Artix7 - не работает на GoWin.

Отладкой я пользоваться пока не умею, поэтому косвенно проблема такая:

 

input  wire       DI;
output wire [7:0] DO;

reg [15:0] T = 16'hffff;
reg [ 3:0] N = 11;         //алгоритм изменения N в диапазоне 0..11 не приведён 

 

//--- не рабочий вариант на GoWin ( DO всегда = FF ) -------------

always(posedge CLK)
   begin
   if ( (N > 0 ) && ( N< 9) ) T[N-1] <= DI; 
   end

assign DO = T[7:0];   

//--- рабочий вариант на GoWin ( DO правильно обновляется ) ------

always(posedge CLK)
   begin
   if ( (N > 0 ) && ( N< 9) ) T[N] <= DI; 
   end

assign DO = T[8:1];

 

Т.е. T[N-1] <= D; не умеем и не ругаемся.

 

2 hours ago, StewartLittle said:

 неподходящий стиль описания.

похоже...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, usonic said:

Спасибо . У меня по репортам 90МГц, но не работает на 80. Попробую снизить .

И код свой посмотрите...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, _4afc_ said:

И код свой посмотрите...

Код я уже больше недели смотрю. Пришлось немного модифицировать под особености говина.
Констрейны практически такие же как на стартане и цинке. Наверняка где то ошибки в коде, но где?
И почему работает на стартане и цинке?

23 hours ago, StewartLittle said:

Боюсь, что скорее второе + неподходящий стиль описания.

Хотя без исходников трудно судить.

Что означает "неподходящий стиль описания" ? И какой стиль подходящий?

On 12/13/2021 at 7:37 PM, Flood said:

Пугающее заявление. Это говин так разводит, или ошибки констрейнов в проекте?

Констрейны практически такие же как на стартане и цинке.
И почему работает на стартане и цинке?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...