Перейти к содержанию
    

как защитить модуль, позволяя использовать, но не смотреть внутрь?

Всем доброго времени суток,

Необходимо мной разработанный модуль (verilog/vhdl), включающий в себя корки xilinx'a (фифо, фильтры) передать другим людям, чтобы они вставили его себе в проект, но не могли знать что там внутри.

я пока не представляю как правильно это делать,

то есть можно отсинтезировать структуру и приложить все .ngc, но это уже защиты как мне кажется никакой не дает.

что же делать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

то есть можно отсинтезировать структуру и приложить все .ngc, но это уже защиты как мне кажется никакой не дает.
Какие все? Собираете все ваши ngc в один ngd и отдаёте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какие все? Собираете все ваши ngc в один ngd и отдаёте.

а как это можно сделать? и edf описание структуры тоже будет включено в NGD?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какие все? Собираете все ваши ngc в один ngd и отдаёте.

Не. Добавить ваш ngd к их ngd нельзя. Надо выяснить, можно ли собрать несколько ngc в один ngc.

 

а как это можно сделать? и edf описание структуры тоже будет включено в NGD?

ngd -- это бинарный формат. Из него можно сделать низкоуровневый vhdl, но понять, что там происходит, практически нереально.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Радикальный способ - шифровать исходник и создавать для него лицензионный файл.

Для альтеры/квартуса такой фокус у меня получается :).

Получится ли это для xilinx - не уверен, т.к. не знаю инструмента (ISE).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем доброго времени суток,

Необходимо мной разработанный модуль (verilog/vhdl), включающий в себя корки xilinx'a (фифо, фильтры) передать другим людям, чтобы они вставили его себе в проект, но не могли знать что там внутри.

я пока не представляю как правильно это делать,

то есть можно отсинтезировать структуру и приложить все .ngc, но это уже защиты как мне кажется никакой не дает.

что же делать?

Что вы понимаете под термином "не могли знать что внутри"?

Есть хорошо описанные техники криптования исходников как раз для случаев IP core.

Однако я подозреваю что в вашем случае можно спокойно отдавать нетилист *.edn либо связку - враппер верхнего уровня *.v плюс *.ngc.

Восстановить исходники из нетлиста практически нереально.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что вы понимаете под термином "не могли знать что внутри"?

Есть хорошо описанные техники криптования исходников как раз для случаев IP core.

Однако я подозреваю что в вашем случае можно спокойно отдавать нетилист *.edn либо связку - враппер верхнего уровня *.v плюс *.ngc.

Восстановить исходники из нетлиста практически нереально.

чтобы например исходники не могли модифицировать, а чисто использовать как есть.

видимо edn придется просто отдать. просто хотелось, чтобы это был один файл.

с другой стороны он видимо должен будет моделироваться по идее)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Радикальный способ - шифровать исходник и создавать для него лицензионный файл.

 

Что-то сильно я сомневаюсь в радикальности этого метода, особенно если лицензионный файл в наличии. Я пока еще не встретил такого шифрования исходников, чтобы оно создало реальную проблему для дешифрования.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно ещё обфускачить или исходники или edif, модифицировать будет довольно сложно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Радикальный способ - шифровать исходник и создавать для него лицензионный файл.

 

Это скорее антирадикально, т.к. те, у кого есть Ваш исходник, скорее всего, имеют и лицензионный файл, т.е. шифрование им не помешает. А те тем, у кого лицензии нет, скорее всего и сам шифрованный исходник взять негде.

 

Радикально - это отдавать нетлист после разводки под конкретный кристалл. Пользоваться можно, а понять что внутри... кхм, ну не знаю...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Радикально - это отдавать нетлист после разводки под конкретный кристалл. Пользоваться можно, а понять что внутри... кхм, ну не знаю...

Дополнительно обработав обфускатором, чтобы от имен цепей камня на камне не осталось.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Радикальный способ - шифровать исходник и создавать для него лицензионный файл.

Для альтеры/квартуса такой фокус у меня получается :).

Получится ли это для xilinx - не уверен, т.к. не знаю инструмента (ISE).

Если не сложно, опишите подробнее эту процедуру.

Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Радикальный способ - шифровать исходник и создавать для него лицензионный файл.

Ага, интересно было бы услышать, как это делается.

И насколько защищены оказываются исходники, когда передаешь пользователю лицензионный файл и зашифрованные файлы?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем доброго времени суток,

Необходимо мной разработанный модуль (verilog/vhdl), включающий в себя корки xilinx'a (фифо, фильтры) передать другим людям, чтобы они вставили его себе в проект, но не могли знать что там внутри.

я пока не представляю как правильно это делать,

то есть можно отсинтезировать структуру и приложить все .ngc, но это уже защиты как мне кажется никакой не дает.

что же делать?

 

Уважаймые специалисты!!!!!!!!! Бросьте вы выдумывать как изобрести велосипед и хаять Xilinx в момент похвалы производителя Altera.

 

Ранее был создан топ презентации САПР "Vivado" ф. Xilinx. Настоятельно рекомендую ознакомиься с возможностями САПРа "Vivado" на сайте производителя.

 

Скажу кратко, что Вивадо позволяет создовать собственные корки. Эти корки полностью защищены от того чего вы опасаетесь и преднозначены именно для торговли и организации разработки больших проектов. Там всё хорошо написано и реализованно. Вот только внимательно следите за релизами Vivado... эта опция САПРа уже доступна, либо будет доступна в скором будущем (март нынешнего года).

 

Желаю удачи и чуть-чуть терпения. Для Вас все сделают!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И насколько защищены оказываются исходники, когда передаешь пользователю лицензионный файл и зашифрованные файлы?

Ровным счетом ни на сколько. Разве что от менеджеров и секретарш :) Берешь, и дешифруешь, как и любые другие "корки". Все в принципе очень просто - если модуль синтезируется синтезатором - то отсюда следует вывод, что при небольшом вмешательстве оттуда добывается и расшифрованный исходный код. То есть обычный обфускатор дает защищенность на пару порядков выше, чем это непонятно от кого шифрование - скорее сбор бабла за опцию к среде разработки, нежели реальный прок.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...