Перейти к содержанию
    

BSACPLD

Свой
  • Постов

    912
  • Зарегистрирован

  • Посещение

  • Победитель дней

    5

Весь контент BSACPLD


  1. А что за контора? Случайно ли не "Цифровые решения"?
  2. При пересоздании вместо мегавизарда почему-то запускается qsys. Мне нужна просто мегафункция, а тут вместо неё квартус пытается создать целую систему, которая мне не нужна :(
  3. Есть проект сделанный для Cyclone IV в Quartus 9.1. Решил перенести его на 15.1 build 185 и столкнулся со следующей проблемой: Мегафункция ALTASM_PARALLEL нормально апгрейдится и компилируется, но при попытке её отредактировать через MegaWizard последний открывается, но показыевает пустое окно вместо настроек (см. скриншот). Можно ли как-то побороть эту проблему или придётся откатываться обратно на 9.1?
  4. SMD разъёмы

    Коллеги, помогите, пожалуйста, опознать разъёмы (см. фото). Также буду рад информации о том где их можно купить в Москве.
  5. Как исправить не знаю, но могу предложить генератор перечня, который я в своё время делал для EE7.9.4 - может быть он подойдёт :) Вся его идея основана на том, что в Mentor пишутся только английские названия, а затем при генерации перечня они подменяются на русские в соответствии с заданной таблицей замены. BOM.rar
  6. К сожалению, просто межкадровое вычитание делать не получиться, т.к. за время одного кадра камера может повернуться весьма значительно. Хотя может быть я не до конца понял Ваш алгоритм... Если кратко описать задачу, то мне нужно получить две вещи: 1. Стабилизировать изображение движущейся камеры, причём она может вращаться как по оси X, так и по оси Y. Т.е. нужно убрать тряску которая возникает при вращении опорно-поворотного устройства. 2. Определить смещение изображения и после этого сделать межкадровое вычитание, чтобы определить координаты движущегося объекта и отцентрировать по нему видеокамеру.
  7. С тем, что нужно хранить все значения я теперь разобрался. FFT нужен для того, чтобы сделать взаимную коррелляцию текущего и предыдущего кадра. Таким образом я хочу определить смещение текущего фонового изображения относительно предыдущего. Всё это нужно для автоматической стабилизации изображения.
  8. Т.е. если количество точек FFT равно 128, то достаточно будет хранить отсчёты с 0 по 63?
  9. Есть задача посчитать на ПЛИС FFT от матрицы 128 на 128 (кусок видеоизображения). Если я правильно понимаю, то для этого можно использовать уже готовое одномерное FFT (Альтеровская мегафункция). 1. Сделать FFT от каждой строки. 2. Сделать FFT от каждого столбца матрицы полученной после пункта 1. Исходные данные имеют тип 8 бит real (яркостный канал). Насколько я понимаю, полученный спектр будет симметричным, так что достаточно хранить только реальную часть примежуточной матрицы. Так ли это? И как выбрать разрядность для промежуточной матрицы? 8, 10 бит?
  10. А чем сам ALTASMI_PARALLEL не устраивает? Там же вроде всё предельно просто.
  11. Спасибо, fill. Теперь при просмотре схемы в DxDesigner текст выводится нормально, но при попытке экспорта в PDF текст опять превращается в кракозябры. Пробовал ставить галочку "Use DxDesigner font mappings", но это не помогло.
  12. По ходу дела возник ещё один вопрос. Как в 7.9.4 сделать так, чтобы корректно выводилась кириллица в основной надписи? Делал точно как в инструкции (см. архив), но почему-то не выходит. При этом если попытаться написать кириллицу просто как текст на схеме, то выводится нормально. a2sheet_gost.rar
  13. Недавно после небольшого изменения в проекте у меня посыпались тайминги в TQ. Как выяснилось, это возникло из-за того, что у меня не был прописан один false path. Вот только проблема как его правильно прописать (см. рисунок). Коллеги, подскажите, пожалуйста, как правильно прописывать констрейны для такой системы.
  14. Они все входят в группу предприятий ПЬЕЗО. http://www.oaopiezo.com/about_rus.html Т.е. конторы разные, но завод один. Вот, кстати, SMD и могут работать до 125МГц: http://www.oaopiezo.com/pdf/gk1001-p.pdf И точно такой же у Пьезотрона :): http://www.piezotron.ru/gk1001p.shtml Их продукцию мы тоже использовали - претензий пока нет. Единственный минус - срок поставки почти месяц. Правда мы заказывали у них не генераторы, а резонаторы.
  15. Коллеги, у меня вот вопрос. Почему многие наши разработчики стараются применять в своих разработках ГК-108 у которых, насколько я понял из разных форумов, всё-таки есть проблемы с качеством тактового сигнала сигнала? http://forum.milandr.ru/viewtopic.php?f=40...BD&start=90 Да и у с поставками ГК-109 вроде не всё понятно: http://forum.milandr.ru/viewtopic.php?f=40...;start=90#p9174 Ведь есть же другие отечественные генераторы у которых, насколько я знаю, таких проблем нет. Вот, например: http://bmgplus.ru/xo.html Мы уже несколько лет применяем продукцию этой фирмы и проблем никаких не возникало. Ни в коем случае не сочтите за рекламу. Просто интересно :)
  16. Хотя бы номер ГОСТа не подскажите? Не совсем понимаю как привязать это к иерархической схеме. Ведь внутри функциональной группы имена сигналов должны совпадать с именами внешних сигналов. А в иерархическом блоке внутренние имена сигналов будут одинаковыми для всех экземпляров иерархического блока. И как быть с иерархическими портами? В ГОСТе на них нет специального обозначения. Один маразматик из-за которого весь этот гемморой. :( С землёй всё понятно. ГОСТ 2.721-74 А в каком ГОСТе написано про обозначение питания? Но ведь это подойдёт только если микросхема гетерогенная, где для каждого вентиля своё УГО. А как быть с гомогенными элементами? Неужели создавать свою копию УГО для каждого вентиля? Не расскажите как оформлять такой документ? Боюсь, что с нашим уровнем маразма, это будет почти нереально... :(
  17. Уважаемые коллеги, поделитесь, пожалуйста, опытом как пожружить DxD и ЕСКД. С УГО всё понятно. Можно нарисовать любое. А вот как быть бредятиной в виде прописывания номеров листов на которые переходит оборванная цепь? И как быть с иерархическими блоками? В ЕСКД нет такого понятия как иерархическая схема. Ну и ещё несколько вопросов: 1. Как правильно обозначать питание? Раньше я всегда обозначал его стрелкой, но сейчас мне утверждают, что это неправильно, и нужно использовать значок эквипотенциальности. 2. Как правильно обозначать аналоговую землю? Раньше я всегда использовал для этого значок эквипотенциальности с надписью AGND. Правильно ли это? 3. Как быть с RefDes для многовентильных микросхем?
  18. Здравствуйте коллеги! После небольших изменений свойств компонентов в ЦБ (PartLabel - описание компонента) появилать необходимость обновить их в одном уже готовом проекте. Можно ли как-то сделать так, чтобы обновилось только одно свойство (PartLabel), а другие при этом не слетели (RefDes, Value)? Можно конечно всё править руками, но может быть есть возможность как-то автоматизировать этот процесс?
  19. Не могли бы Вы подсказать ещё по паре вопросов. 1. При открытии *.cce файла отображается только первый лист, хотя в проекте их 14. Как переключаться между листами? 2. После импорта из DxDesigner размер шрифта стал почти в 1,5 раза больше. Как поменять размер шрифта?
  20. Добавлю свои пять копеек как давить дребезг. Хоть и на SV, а не на VHDL, но я думаю, алгоритм будет понятен. Кнопка сэмплируется с заданным периодом и по её значению происходит изменение счётчика. Как только счётчик досчитает до максимума или минимума, кнопка считается перешедшей в стабильное состояние. Если счётчик находится в промежуточном состоянии, то подавитель дребезга сохраняет своё предыдущее значение. Итого на выходе схемы имеем отфильтрованный от дребезга сигнал и можем делать с ним всё что захотим. :) `timescale 1 ns / 1 ps module but_filter #( parameter FREQ = 30, // MHz parameter BUT_SAMPLE = 1000 // us ) ( input clk, input but_in, output reg but_out ) ; localparam DIV = BUT_SAMPLE * FREQ ; reg [$clog2(DIV)-1:0] divider = 0 ; reg cout = 1'b0 ; reg [3:0] but_cnt = 0 ; wire max ; wire min ; reg [1:0] but_clk = 0 ; initial begin but_out <= 1'b0 ; end always_ff @(posedge clk) begin if (cout) {cout, divider} <= DIV - 2'd2 ; else {cout, divider} <= divider - 1'b1 ; end always_ff @(posedge clk) begin but_clk <= (but_clk << 1) | but_in ; if (cout) begin casex ({min, max, but_clk[1]}) 3'bx00: but_cnt <= but_cnt + 1'b1 ; 3'b0x1: but_cnt <= but_cnt - 1'b1 ; endcase casex ({min, max}) 2'b01: but_out <= 1'b1 ; 2'b10: but_out <= 1'b0 ; endcase end end assign max = &but_cnt ; assign min = ~|but_cnt ; endmodule
  21. В общем удалось мне запустить jpeg декодер о котором я упоминал в начале темы, но возник ряд вопросов. 1. При сжатии изображение делится на квадраты 8x8, а декодер выдаёт квадратами 16x16 (сигналы OutPixelX и OutPixelY). Как такое может быть? И на каком этапе декодирования определяется размер квадрата? Перед IDCT? 2. Оттенок изображения немного немного отличает от изображения сконвертированного с помощью Photoshop (см. папку testbench). С чем это может быть связано? С целочисленной арифметикой или с тем, что декодер использует квадраты 16x16? test_jpeg.rar
  22. Какую конкретно версию нужно ставить? На нашем ftp нашёл только 4.4 PRO и 4.5 PCB.
  23. Попробовал через eDxD Schematic. При загрузке в CAMCAD вылезает ошибка (см. скриншот). CAMCAD 4.4.024
×
×
  • Создать...