Перейти к содержанию
    

id_gene

Свой
  • Постов

    317
  • Зарегистрирован

  • Посещение

Весь контент id_gene


  1. Но ведь для DQS сигналов эти пины парами действительно аппаратно сделаны. Вы их хотите разделить?
  2. Под вин7-64 развожу 13м квартусом. Использование памяти зависит от выбранного девайса, 4й стратикс 530й, отъедает больше 4х гиг, самый толстый 5й стратикс может и 10+. Зато 32 гига позволяет одновременно компилять 2-3 проекта без ущерба.
  3. Поставил на винду 7, 64 бита. стратикс III, 340й, 90% Компилил незначительно дольше, чем 11й. Памяти отожрал 9+ гиг на сам quartus.exe и еще 4+ гига на фиттер. После окончания компиляции 9 гиг не отпустил. При закрытии проекта отожрал до 14 гиг quartus.exe, закрыть проект не смог, пришлось убить процесс. Нафиг он мне нужен такой :) Сравнение отчетов project.flow.rpt 11й/12 синтез 3.7G/4.1G фиттер 4.3G/7.1G TQ нет инфо/3.3G Результат разводки еще не проверял. Буду ждать сервиспак, а пока на 11м поживу.
  4. Точно, спасибо. Это я под вечер совсем перестал соображать. :cranky:
  5. Добрый день, хочу сделать контроллер SPI и подключить к нему быструю флешку, например S25FL128 от SPANSION. Непонятно, как сделать чтение на частоте 104 МГц, поскольку в AC параметрах задержка от клока до выхода задана мин-макс 0-8 нс (при периоде 1/104 = 9.6). Ведь по протоколу SPI я должен защелкивать данные уже через пол-такта. Кто-нибудь сталкивался? Спасибо
  6. +1 смотрите листинг. Можно промоделировать, если ресуры позволяют. Поковырял свои старые коды, вот такая строчка у меня есть (переложил одну функцию во флешку) void my_init (void) __attribute__ ((section (".cfi_flash_2.txt"))); Почему с txt - не помню При этом строчка это в файле заголовков (при декларации), а не при описании функции. Как называются секции в вашем случае можно посмотреть в _syslib/Release/system_description/generated.x Но лучше, конечно, разобраться, почему так медленно.
  7. Я на 7ке-64 разряда смог поставить только драйвер юсб-бластера 6й версии квартуса DriverVer=05/19/2006,2.00.00. Новые не захотели, не понял почему. Но это не рабочая машина, поэтому глубоко не копал, прошиваю с нее мало.
  8. Качаю с сайта альтеры - https://www.altera.com/download/software/quartus-ii-se Не инсталером, а отдельными файлами Скорось порядка 3.5MB/s (5 мин на 1й файл)
  9. Потому что у вас в тесте нет активности, все статическое. Вам надо задать переключения oe.
  10. Смотреть и править можно в любом редакторе, включая сам квартус. Расширение файла constrain - sdc. Запускать вам надо Timequest через меню Tools (а не start timequest), там гораздо интереснее в меню constraints (только надо будет загрузить проект сначала). Полезные ссылки: справка самого ква ква хендбук; Timequest для чайников от des00; библиотека из местных прилепленых тем http://www.naliwator.narod.ru/; посик по нашему форуму; toturials & manuals от вендора (поиск по слову timequest); онлайн курсы по timequest от вендора опять же (бесплатно, сам не пробовал); посик по сайту и форуму altera;
  11. +100 К тому же они совсем не 500 строк на ВХДЛ (на Верилоге это раза в полтора меньше выходит).
  12. отдаленное похожие задачи обсуждали: раз, два, три и четыре. Может какие-то подходы найдете.
  13. По включению в альтере все тригеры в "0". Изменяется это для конкретных областей проекта в assignment editor -> logic options -> power-up level, а на деле квартус ставит инверторы, как было сказано выше. Посик по хелпу квартуса
  14. Поскольку ответов нет, посоветую для отладки file monitor для windows (заменен на process monitor). Поставьте фильтр на квартус, запустите файл монитор, нажмите кнопку вызова моделсима и посмотрите, по каким путям квартус ищет файлы. Потом можно подправить переменные среды или сделать ссылки. Способ кривоватый, но когда других вариантов нет, то может сработать.
  15. +1 при пересборке все вернется, можно не обращать внимания (хотя отчеты замусоривает, согласен) Мне кажется - это остатки перловых (или чем там они систему собирают) заготовок описаний триггеров на все случаи жизни. Вот в вашем примере нет условий защелкивания, поэтому if(1), а при других вариантах контроллера будет какой-то сигнал, и описание станет if (enable).
  16. Скорее нет, чем да, но зависит от скриптов. вам бы документацию на нцв достать, чтобы хоть понимать, что там за команды вызываются и с какой целью. В квесте почитайте хелп на команду qverilog - аналог "однокнопочной" batch-компиляции и запуска для нцв. Например, для моделсима есть в его примерах и для ниоса скрипты с вызовом окон терминала и подцепом пли, обработкой вызовов с клавиатуры, добавлением кнопок и прочее. Таких вы вряд ли найдете в вашем проекте, но кто знает...
  17. Большие проекты моделсим просто не потянет (если у вас нетлист в сотню-две мегабайт и к нему соответствующий гигабайтный sdf). Скорость ncv раньше была больше в несколько раз, посиком по форуму - есть отчеты. Также были отчеты сравнения ncv/vcs при моделировании больших нетлистов (в пользу vcs). Последнее время скорость уже не меряли. Для начала моделсима хватит. Для небольших проектов еще хвалят activeHDL (не пробовал).
  18. В известном месте ничего не нашел. Может это другое место?
  19. Можно попытаться старый работающий проект из 9х версий подцепить новым отладчиком. А можно новый проект попробовать подцепить старым отладчиком. (сам не пробовал). Может там jtag интерфейс отвалился при синтезе? Можно на сигнлатап вытащить что-нибудь из jtag блоков процессора. В конце концов, можно хелп почитать, вдруг там все просто по новому.
  20. "/pub/FPGA/_Cadence_/NC Desktop/NC-Desktop.zip" версия 5-30 Эту ставить не пробовал. Под вынь стоит 5-10. Работает.
  21. penauch, я не пойму, почему вам мое решение не нравится? Счетчик будет считать быстрее, а снимать с него данные вы все равно будете только по фронту или по спаду. Или интерес академический? Может, мое решение некорректно? я беспокоюсь :(
  22. 1) сам разделяю автомат в отдельный процесс, присваивание выходов - в отдельный. описание автомата получается компактнее, удобнее смотреть на код. А описания выходов удобнее менять, когда они не перемешаны с КА. 2) когда выходить выходам - это уже вы сами решаете. После триггера, или комбинаторно и сразу - зависит от требований проекта. 3) если есть проблемы с дельта-циклами, то, наверное, код написан не очень хорошо. со временем это пройдет. Проблем с рассматриванием диаграмм без задержек давно не было (это, кстати, еще зависит от испльзуемого инструмента, я пользуюсь deb ussy)
  23. боян, конечно, но вы эти статьи читали? Результаты синтеза, правда, могут быть не очень свежими. Синтезаторы за это время прогрессировали.
×
×
  • Создать...