Перейти к содержанию
    

Koluchiy

Свой
  • Постов

    1 087
  • Зарегистрирован

  • Посещение

Весь контент Koluchiy


  1. Думаю, на эту тему есть смысл читать мануал на конкретное семейство.
  2. А долго ли дорастает? И что в это время делает сигнал locked.
  3. Я очень извиняюсь. Но XC6V_L_X240T-1FFG1156 и XC6V_С_X240T-1FFG1156 - это 2 разные микросхемы. Правда-правда...
  4. Эммм... А в проекте правильная микросхема установлена?
  5. Доводилось работать с платой, где тактовые приходили куда попало. Ничего, работало вроде... На мой взгляд, гораздо критичнее, чтобы все выходные сигналы DCM (с которых собственно работает схема) были подключены через BUFG. Если так не сделать, то глюки возможны, даже если конкретный тактовый "питает" относительно немного логики. И констрейны здесь не очень помогают (по крайней мере, констрейны на период клока).
  6. Спасибо за совет! Куда уж мы без литературы... :rolleyes: Безусловно, до Вас мне далеко :). Да придется рано или поздно... Пока XST - не самое глюкавое, что у меня тут есть :). P.S. Промежуточное итого по случаю окончания рабочей недели :). 2 человека, дающие советы по теме, и куча народа, аргументированно доказывающая, что я студент :). Нормальный русский форум, чо :). Всё по фольклору...
  7. Можно поставить плохой генератор. Или далеко отстоящий и ловящий всё на своём пути. Можно подать опорный сигнал не через выделенные ноги, а через BUFG или с другого GTP. И работать станет хуже :). По крайней мере, в V5 чувствительность прослеживается.
  8. У меня пытаются узнать информацию, которая совсем не нужна для решения вопроса о написании комбинационной функции :). Такая информация нужна, чтобы направить дискуссию в русло "а не сделать ли тебе ее в 10 тактов...", как тут уже пытались отдельные товарищи... Совершенно случайно, я не студент какого-то ВУЗА... P.S. Это тоже вопрос, необходимый для того, чтобы дать более содержательный ответ на вопрос об описании комбинационной функции? :) Посмотрите сообщение №5 темы, с циклом for... Он тоже должен тактироваться? :) Спасибо! Но вот ISE 9.2 % понимает, только если the second operand is a power of 2 :).
  9. Каким образом у Вас подается опорный сигнал на модули GTP?
  10. Давайте не будем углубляться в особенности моего проекта, тем более, что я уже давно придумал альтернативное решение проблемы. Тем не менее, если кто-нибудь подскажет, как при помощи цикла (любого) описать искомую функцию так, чтобы это соответствовало Verilog-2001, буду очень благодарен :).
  11. Я извиняюсь, но у меня только один такт на требуемую операцию, так что конвейеры низя...
  12. По сути, то, что я описал, можно без проблем заменить таблицей-case'ом, т.к. каждому входному результату соответствует один выходной... Можно даже в несколько if'ов написать.
  13. Это просто абстрактный кусок кода, который нужен только для разбирательства с while'ом. Это не асинхронщина, а комбинационщина :). Мне нужно, чтобы требуемая операция выполнялась за один такт (вместе с еще некоторыми операциями). Как тут применить FSM?
  14. Попытался состряпать такую конструкцию. Суть в том, что в цикле из входного сигнала вычитается 21, пока результат не будет меньше 20. module TRX_TestTU12NumDecoder ( in, out ); input [5:0] in; output reg [5:0] out; reg [5:0] temp; always @(*) begin temp = in; out = 0; while(temp > 20) begin temp = temp - 21; out = out + 1; end out = out + temp; end endmodule Компиляторы (Quartus 8.0, ISE 9.2) на такой текст ругаются неприличными словами: Очевидно, что при 6тиразрядных переменных должно быть максимум 3 итерации. Господа знатоки, направьте на путь правильный, стандарт читал - не помогает... P.S. Поиск тоже пробовал юзать, но чего-то он тоже отказался мне помогать...
  15. Не использовать оба вида присваивания. Ничего сложного в этом нет.
  16. По симптомам я бы предположил 50% вероятности проблем с матчастью. Если проект не перекомпилировать, а одной и той же прошивкой ("рабочей") прошить несколько раз - запускаться будет стабильно?
  17. Ап! :) Граждане, подскажите пожалуйста. Нет ли какого документика, где бы более-менее подробно разбирались отличия между Spartan-6, Virtex-6 и, желательно, Virtex-5 до кучи :rolleyes: . Интересует не доступные корпуса-градации, а ресурсы архитектур/семейств.
  18. На мой дилетантский взгляд, может оказаться так, что время на поиск человека+вникание человека в суть проекта и требований (т.е. уточнение ТЗ) + выполнение может оказаться выше, чем самостоятельное освоение NIOSа и выполнение того, чего Вы хотите, своими силами.
  19. Ну если не будет отличаться, тогда время перезагрузки = время загрузки. Время загрузки = объем прошивки*период такта...
  20. Эммм... Честно с мультизагрузкой не работал. А почему это время должно отличаться от времени загрузки банально из флеша?
  21. Насколько я помню, есть 2 источника сокровенных знаний: 1) Мануал GTP user guide 2) Когда запускаете визард по генерации компонента GTP, можно "попросить" его генерить примеры. В том числе, в примере будет файл с необходимыми констрейнами. Ну а вообще, по моему мнению, констрейнить надо все клоки. Забот немного, а помочь может.
  22. Автору темы. Выложите уже Ваш документ на файлообменник какой, или скажите полное название. Всем же интересно, насколько кто неправильно кодит :). P.S. Наверное :). Две пропущенных запятых и еще один отсутствующий знак препинания, одна пропущенная буква и слитное написание двух слов :rolleyes: . Ничего личного, спелл-флейм...
  23. Насколько я помню, мы в точности повторили времянку без всяких допущений и упрощений, и оно заработало без всяких выкрутасов. Вы уверены, что nConfig и nStatus до начала загрузки = 0? Если нет, то приведение nConfig в 1 результата (фронт) не даст. Поэтому во избежание я советую принудительно сначала в 1, ждать nStatus = 1, затем nConfig в 0 и т.д.. Кроме того, раз уж не работает, есть смысл отказаться от одной из ступеней, а именно от ПК. Если есть такая возможность, загрузить прошивку в какой-нибудь flash на плате, и качать оттуда. Также выложите кусок схемы с конфигурационными и конфигурирующими ногами.
×
×
  • Создать...