Перейти к содержанию
    

Koluchiy

Свой
  • Постов

    1 087
  • Зарегистрирован

  • Посещение

Весь контент Koluchiy


  1. Здравствуйте. Есть 2 платы, на которых стоит Virtex5. В Виртексе реализовано два приемопередатчика RocketIO, работающих на частоте 622 МГц. Один из приемопередатчиков работает на обеих платах нормально, другой 1) На одной плате работает нормально 2) на другой плате сбоит приемник - во входном потоке периодически появляются последовательности нулей. Последовательности нулей появляются иногда одиночные, иногда сериями (0000 - данные - 00000000 - данные и т.д.). Вопрос: сталкивался ли кто-нибудь с такими сбоями. Насколько характерны такие сбои для этих приемопередатчиков. Насколько велика вероятность того, что микросхема повреждена, и ее надо менять? Всем заранее спасибо.
  2. Собственно, имеем Microblaze, к которому подключены mdm и chipscope (icon). icon имеет "контрольный порт", выведенный наружу (к нему предполагается подключить ila в проекте EDK). При попытке сделать "Generate Netlist", появляется следующее сообщение об ошибке: -------------------------------------------------------------------------------------------------- ERROR:MDT - chipscope_icon_0 (chipscope_icon) - Generating the core : chipscope_icon_0.... Chipscope Core Generator Error : Unable to find the Chipscope Core Generator : generate.exe in the path Registry search for a Chipscope Installation also failed. Please ensure that a valid Chipscope Installation exists for this Chipscope Core to work Please verify that the MHS Parameters for "chipscope_icon_0" core are valid and the generated Chipscope Core Generator arg file : "implementation/cs_coregen_chipscope_icon_0.arg" is valid ************************************************************* while executing "error "Generating the core : $params(INSTANCE).... Chipscope Core Generator Error : $err Please verify that the MHS Parameters for \"$params(INSTANC..." (procedure "::hw_chipscope_icon_v1_01_a::icon_generate" line 91) invoked from within "::hw_chipscope_icon_v1_01_a::icon_generate 41030232" ERROR:MDT - platgen failed with errors! make: *** [implementation/microblaze_0_wrapper.ngc] Error 2 -------------------------------------------------------------------------------------------------- Кто-нибудь сталкивался? Кто виноват, и что делать... Chipscope в PATH'е прописан. Заранее всем спасибо :).
  3. Ограничения на скорость такой перескок наложит. А от частоты 80 Мгц отказаться нельзя? Чтобы всё на 100 работало. Или, как вариант, вместо 80 сделать 50.
  4. Фирменный БластерМВ, купленный в Точке Опоры в 2001 году, как часы на любых напряжениях (3.3, 5) и с удлинителем LPT (не мерял сколько, но длинный). Если плата разведена плохо, универсальное средство - выкидывать и переразводить. На лечение глюков уйдет больше времени и денег.
  5. Закладывать Virtex сильно дорого. Особенно, если сверхскорость и всякие примочки типа PCI-E не нужны. А по количеству LUTов Спартан 5000 примерно эквивалентен 110-му Virtex'у... И оно тут конкурирует скорее с Циклоном.
  6. Ну например, чтобы заполнить нишу ДО массового появления S6, а также дать возможность расширения 3A/E проектов. Ну нет так нет...
  7. А может кто-нибудь сказать следующие 2 вопроса. 1. Как долго еще будет производиться семейство Spartan-3? Интересуют старшие представители - XC3S2000-5000. В смысле, нет ли планов сворачивания их производства. 2. Нет ли у Xilinx планов расширять семейства Spartan-3A(E). Самые большие в них микросхемы - 1400 и 1600, ЭТО В ОБЩЕМ МАЛО :(.
  8. Граждане, подскажите по вопросу. Рассматриваю возможность установки Spartan 6 XC6SLX75. Смотрю по продавцам - и чего-то у них у всех нету. LX16 и LX45 на digikey лежит, на Xilinx есть 16 и 150, и как-то всё. Остальные еще не производят, или там проблемы какие-то, или как? Где почитать про это всё. Когда оно всё будет доступно и т.д..
  9. Не, ну здорово, а как красиво, когда константа применяется в 10 файлах?
  10. А как декларировать константы "красиво"? :)
  11. Если нет закорючки, оно просто ругается, что идентификатор не определен. А тут, пока не поставишь определение константы в том же файле, в котором она используется, компилятор ее не видит. Т.е. не хочет видеть константы через include .
  12. Уже читал. Вот так: почему-то не работает. С parameter вроде начало компилить.
  13. Еще спрошу, дабы тем новых не плодить. Xilinx 9.2, Verilog, попытка:) использования препроцессора (они же - директивы компилятора). Есть файлик с defin'ами, содержащий строки типа 1. `define DebugMode 2. `define Var 5 То есть, в 1-м случае определяется существование имени (для конструкций `ifdef), во 2-м - определяется константа. Определенная константа применяется в тексте примерно так: Test = Test +`Var; Файлик подключается к другим файлам с Verilog-текстом так: `include "имя файла" В чём (традиционная) засада. В Quartus проблем нет, всё работает. В ISE 9.2 компилятор не воспринимает определение констант через define, если это определение производится не в том же файле, что и применение. То есть, определение 1 работает на ура, а определение 2 не работает (говорит - макрос не определен). Это компилятор не поддерживает, или я что-то делаю не так? Стандарт скачал, не помогло :(.
  14. Да, действительно надо :blink: . Спасибо!
  15. Здравствуйте. Вопрос, казалось бы, элементарный, но в поиске как-то не того... Надо сделать мультиплексор вида [31:0] <= NumChannels * [31:0] , где NumChannels - настраиваемый параметр (константа), которая может принимать значения вплоть до 64. Само собой, делать его через case не хочется совсем - сильно много, долго и муторно. На попытки сделать типа CNTR_RdData[31:0] = (ChCNTR_RdData[Addr]); CNTR_RdData[31:0] = (ChCNTR_RdData[(32*Addr + 31):(32*Addr)]); - где Addr - вектор адреса, ChCNTR_RdData в 1-м случае массив векторов, во 2-м - большой вектор, Quartus ругается нехорошими словами. generate for внутри case делать тоже не разрешает. Что можно сделать? Кроме Ctrl+C много-много раз. Всем заранее спасибо за ответы.
  16. Жаль. Придется вспоминать арифметику и городить вектора длиной 256... Хорошо хоть, узнал, что такое упакованные массивы :-). Всем спасибо.
  17. Здравствуйте. Проблема следующая. Хочу сделать конструкции вида input [7:0] InRegArray[31:0]; output reg [7:0] OutRegArray[31:0]; То есть, 2-хмерные массивы сигналов, являющиеся входами или выходами. Quartus 8.0 такие фокусы вполне себе позволяет при включенной поддержке System Verilog. Как сделать то же самое в ISE 9.2 , я так и не нашел (Verilog 2001 включен, а толку). 10 и 11 версии поддерживают System Verilog? Или в Xilinx такую фишку не сделать никак. Заранее спасибо за ответы.
  18. То есть, ручками для каждого сигнала с ClkEna? Мдя... C этого всё начиналось, но тогда надо городить огород с выбором фазы 25 Мгц сигнала для подстройки под время прихода входного 100 Мгц сигнала... Или FIFO, что в общем не очень получается.
  19. Здравствуйте. Вопрос такой. Есть некая схема, в которой есть сигналы, одни из которых надо обрабатывать с частотой 100 Мгц, другие - 25 Мгц. По 25 Мгц есть довольно сложная комбинаторная логика (кучка ifов, cas'ов и т.д.). Написал я это на Верилоге в таком примерно стиле: always @ (posedge clk100) begin if (ClkEna) output1 <= input1 + input2; end ClkEna равен 1, когда 2хбитный счетчик по частоте 100 равен 0. Трабла в чём. Когда всё это дело компилится, мне Xilinx'овский timing analyzer выдает несоблюдение временных требований как раз по цепям, где на 25 Мгц частоте считается большая комбинаторная логика. Причем он считает, что на рассчет этой логики у него есть 10 нс (как будто ClkEna сигнал может приходить каждый такт, хотя на самом деле - каждые 4 такта и 40 нс). Его можно как-то убедить в том, что ClkEna есть, и его надо учитывать при расчете временных параметров? Или оно этого не умеет. А тогда как им пользоваться? Заранее спасибо за ответы. Да, ISE 9.2.
  20. Следующий этап мучений... Поставил Моделсим. Картина та же самая: при тыкании мышой на "Simulate behavioral model" в одном проекте всё хорошо - моделсим запускается и работать можно. В другом (чуть посложнее) проекте ISE моделсим просто не запускает. Тыкаю, оно курсор-часики на минуту показало, и всё... Процессов лишних никаких нет (при пользовании хилинховским симулятором - тоже). Но симулировать не хочет. Окно Transcript пустое. Кто чего ценного посоветует?
  21. Ну и до кучки. Попытался пообщаться с симулятором всё того же Xilinx ISE 9.2i SP4. У меня очень мало слов, которые я могу сказать здесь, чтобы не обидеть модераторов... Основная проблема следующая. Вот есть проект. Компилируется. Создаю .twb для behavioral simulation. Запускаю симуляцию - работает. Проходит какое-то время, какие-то простейшие телодвижения - и при попытке запустить симуляцию она уже не работает. Даже не делает вид :-). Я тыкаю двойным нажатием на "Simulate behavioral model". Оно меняет указатель мыши на часики... Проходит несколько секунд... Часики опять меняются на стрелочку... И всё! В консоли при этом вообще ничего не пишется... Перезагрузка не помогает. Стереть tbw и создать новый не помогает. "Cleanup project files" не помогает. Помогает единственное что - пересобрать проект. Ну йо... Даже с Xilinxовским примером такая хрень была. Кто-нибудь сталкивался, пытался, как с этим бороться и предотвращать? А вообще, пятничный крик души :). Вот скажите мне - Xilinxовские среды - они в принципе с кучей заморочек? Или мне такой дистрибутив достался. Или RFTM надо серьезно. После Альтеры я от Xilinx'а в полнейшем смятении...
  22. Еще один чайниковский вопрос, чтобы не плодить тем. ISE имеет очень интересную политику компиляции, и вот в каком плане (Verilog). Если какому-то сигналу не присвоено значение, но его значение используется - при компиляции вешается варнинг о том, что сигнал не определен, но используется.+ он автоматом устанавливается в 0/ Если какой-то сигнал не перечислен в списке переменных ( т.е. не задан, как wire или reg), но присваивается и используется в тексте программы - при компиляции даже варнинга не возникает, как будто так и надо (сигнал, как я понимаю, автоматом считается как wire). Как-то странно мне сиё, и хочется сделать так, чтобы в обоих таких случаях "вешалась" ошибка. Можно так сделать при помощи каких-то настроек? Сам чего-то не нашел. Заранее спасибо за ответ.
  23. Если не париться с перенастройкой путей, при компиляции не будет находить файлы Microblaze. P.S. Спасаюсь от эффекта переименовкой файла ise.
  24. Офф. Читал где-то, что 10-я версия глючная. Как насчет 11-й?
×
×
  • Создать...