Перейти к содержанию
    

Egor_N

Свой
  • Постов

    76
  • Зарегистрирован

  • Посещение

Весь контент Egor_N


  1. Доброго времени суток! В импульсном источнике питания есть элемент в корпусе SOT23 c маркировкой в одну строку R6G. Очень похож на precision shunt voltage reference LM4040, но не удивлюсь, если он будет похож на что-то еще, так как в данном даташите такой маркировки нет. С признанием приму любую помощь в опознании.
  2. Мы взяли "на пробу". Сразу большую партию не стали рисковать. Поэтому извините за отсутствие масштабности :) Но Ваши проблемы меня действительно заставили волноваться о перспективности нашей затеи. С обновлением информации на дисплее поступаю так (повторюсь еще раз): программно отключил отображение дисплея, обновил отображаемую информацию, включил отображение дисплея. Никаких "шумов". Информация обновляется без "анимационного" эффекта, сразу всё поле дисплея. Надеюсь, что других более правильных вариантов быть не может. Для определения завершения исполнения внутренней инструкции контроллера WS0010 использую флаг занятости BUSY. Что касается фронтов, для управления OLED использую процессор NOIS II в ПЛИС Altera. Процесс переключения направления порта может иметь особенности в разных CPU. Кстати, 5-ти вольтовый OLED прекрасно себя ведет на 3.3 вольтах. При первой возможности постараюсь снять осциллографом диаграмму состояний BUSY в момент чтения.
  3. как же так случилось, что так "повезло"? мой WEG007616A работает нормально и в символьном, и в графическом режимах, во всяком случае, мне так кажется :). если не отключать дисплей перед записью новых данных в DDRAM SS0010, то действительно проскакивает какой-то шум на символах в моменты изменения значений DDRAM. если отключить дисплей, записать новое значение в DDRAM и включить дисплей, то все выглядит весьма пристойно, как и раньше на ЖКИ. да и следов "высоко квалифицированного ремонта" у себя не обнаружил... может мне что-то не то подсунули? :) да... и вот еще что... все ли в порядке с временнЫми соотношениями в управляющих последовательностях? в каком режиме происходило обращение к OLED: 8080-series CPU, 6800-series SPU? SPI отпадает, судя по распайке шлейфа.
  4. Как-то нет времени на общение по непрофессиональным темам. Умение держать тему (не уходить в сторону при обсуждении конкретного вопроса) - в этом жизнь любого форума. Когда у дерева появляется больше мертвых (бесполезных) веток, чем живых - дереву капец. Любителей флуда - за борт!!! Пусть треплются на отдельном дереве, и за такое общение количество сообщений не инкрементировать. Да услышат меня Великие Модераторы. Общительным - отдельный подфорум!! И как только такое желание возникнет, жестоко и безжалостно обнулять его :).
  5. в догонку...RF_and_Digital_Signal_Processing_for_Software_Defined_Radio_tqw__darksiderg.pdf
  6. для начала нужно близко познакомиться с угловой модуляцией, есть весьма специфические моменты. дополнительно к выше приведенной ссылке кое-что (блок-схема + MATLAB реализация) находится в книге А.Б.Сергиенко Цифровая обработка сигналов как на мой вкус, DSP логичнее выполнить на фиксированной частоте, то есть перенос спектра осуществлять перед блоком DSP. когда-то в инете встречались IP ядра для CPLD/FPGA (небесплатные). В спецификациях на эти корки инфа была весьма скудна, гораздо бледнее приведенной ссылки и книги.
  7. Dr.TuamOses is dead... Мне кажется эта ветка создана НЕ для обсуждения того, где найти "халяву".
  8. А мне удалось с пол пинка... Отписал владельцу в личку о "халяве" и получил благодарность. Какой смысл форума, если всякие обормоты могут незаслуженно пользоваться ресурсами местного фтп, палец-о-палец не ударив на самом форуме. Потом еще при случае хвастают - вот я какой куль-хацкер. Ситуация, между прочим, из жизни. Куль-хацкер опущен... :smile3046: однако проблема есть все равно, на хацкерcких форумах частенько мелькают записи типа login:[email protected]:21 - вот они-то и бывают рабочими... не согласен, там "дохлое" все...
  9. C ключами Me*KG разобрался. Запустил и ModelSim-SE 6.5 и, извините, ModelSim-AE 6.4a. Сейчас проверю не случайно ли это получилось, и со всеми ли доступными мне на данный момент Me*KG такое возможно.
  10. Имеется в виду, от текущей даты и на год-два вперед?
  11. При запуске AE 6.4a использовал нод локед лекарство от SE 6.5. Вот беда только с одним известным файлом получилась. Не подошел на 100%, только на 98%... То есть, те либы которые в альтера эдишон уже есть, можно подключить в SE?
  12. :) уважаемый Kuzmi4, и вот и Вы говорите обидное! однако, это не та пара строк, которая буржуйские деньги делает просто бумагой :) а уж куда строки вписывать - знаем.
  13. Доброго времени суток! Прикрутил modelsim_ae (именно altera edition). Запустил. Создал простейший проект на verilog. Без ошибок скомпилировал. Запускаю симуляцию, не идет, пишет такое: error: failure to obtain a verilog simulation license Ладно... Зайдем с другой стороны. VHDL. Опять пишет обидное: error: failure to obtain a vhdl simulation license Что значит сие - понятно. Кто решил проблему - просветите парой строк.
  14. Попробуйте доступные варианты настроек в Assignment -> Settings -> Category: Fitter Setting -> Physical Syntesis Optimazations -> Physical Syntesis for Registers ... А вообще-то, если квартус что-то считает лишним, значит надо как-то по-другому переписывать код.
  15. то есть, перестали удерживать данные на входе регистра до прихода клока, а на выходе через некоторое время после прихода клока появилось то, что уже перестали удерживать? я и не утверждаю, что tco является функцией th или наоборот. В Quartus II Handbook пишется, что эти времена отсчитываются от момента прихода активного фронта тактирующего импульса, то есть от одного момента. Меня интересовало как они соотносятся по длительности. что означает micro - понятно :) У Шило (под рукой был справочник 1987 года издания) по времянкам не очень-то и много. :) Думаю, QII Handbook будет достаточно. :)
  16. как вариант рассмотрите программное моделирование (симуляция), например, в моделсиме.
  17. При использовании SignalTap-a приходиться тратить часть ресурсов кристалла, поэтому чем больше выборок за единицу времени хочется посмотреть, тем меньше места остается для проекта. К тому же частота выборок будет явно не беспредельной. А чтобы дребезг контактов не беспокоил, есть железные средства борьбы с последним, к тому же более простые, чем заставляние работать SignalTap до потери его пульса. Я правильно Вас понял, не дает спать именно дребезг контактов?
  18. Уважаемый, Vjacheslav! Под минимальным подразумевалось, что быстрее этого времени на выходе регистра ничего не появится, но, виноват, правильно в действительности так Clock-to-output delay is the maximum time required to obtain a valid output at an output pin fed by a register, after a clock transition on the input pin that clocks the register. Micro tco is the internal clock-to-output delay of the register. Как видим, слово maximum применено здесь для понятия tco, а не micro tco. А в том, что micro tco и micro th никак не связаны, я с Вами согласен. Кроме того, я конечно же могу ошибаться, но мне кажется, что micro tco всегда меньше micro th. Данные должны быть на входе регистра micro tsu единиц времени до прихода активного фронта тактирующего импульса (установление данных) и micro th единиц времени после (удержание данных), но на выходе регистра они появляются после micro tco единиц времени, еще до истечения времени удержания micro th. Если я не прав, поправьте. Мне очень важно разобраться.
  19. Уважаемые SM и dvladim! Если я правильно понимаю, то micro tco - это минимальное время после прихода активного фронта тактирующего импульса, через которое появляются данные на выходе регистра, а micro th - минимальное время на которое нужно задержать данные на входе регистра, после прихода активного фронта тактирующего импульса, чтобы появившиеся данные на выходе можно было считать валидными. То есть, micro tco всегда меньше micro th. Если поиск не вызовет больших сложностей ... :) хотелось бы взглянуть.
  20. Intekus, спасибо за объяснения. То есть, все начинающееся со слова micro имеет отношение к внутренним задержкам в регистре. micro tco время от прихода активного фронта тактирующего импульса, через которое данные на выходе регистра можно считать валидными. micro th время от прихода активного фронта тактирующего импульса, на которое надо задержать данные на входе регистра, чтобы данные на выходе регистра можно было считать валидными. micro tsu время до прихода активного фронта тактирующего импульса на интервале которого на входе регистра уже должны быть валидные данные, чтобы они корретно зафиксировались в регистре при приходе активного фронта тактового импульса. У меня возник такой вопрос. В каком соотношении находятся micro tco и micro th? То есть, начинается отсчет micro th после прохождения времени micro tco или сразу после прихода активного фронта тактирующего импульса. Здравый смысл подсказывает, что после micro tco, но что думают знатоки? А все остальные задержки - с учетом в том числе и задержек в областях комбинационной логики, если таковые есть на пути распространения сигнала. В Квартус Хандбуке уже побывал :) как это сразу не пришло в голову. Intekus, а что там за беда с их моделью ввода вывода?
  21. Доброго времени суток! Разбираюсь с временнЫм анализом цифровых схем. В документации Alter-ы есть определения что такое fmax, tco, th, tsu. К каждому определению дается формула, по которой вычисляется соответствующее значение. В формулах в угловых скобках (<...>) присутствуют, в свою очередь, следующие понятия, которые, видимо, являются сами собой разумеющимися, например: maximum clock frequency: fmax = 1/(<register to register delay> - <clock skew delay> + <micro setup delay> + <micro clock to output delay>) clock_to_output_delay: tco= <clock to source register delay> + <micro clock to output delay> + <register to pin delay> clock_hold_time: th = <clock to destination register delay> + <micro hold delay of destination register> - <pin to register delay> clock_setup_time: tsu = <pin to register delay> + <micro setup delay> - <clock to destination register delay> Где бы почитать и посмотреть картинки об истинном значении этого само собой разумеющегося? <register to register delay> <clock skew delay> <micro clock to output delay> <clock to source register delay> <micro clock to output delay> <register to pin delay> <clock to destination register delay> <micro hold delay of destination register> <pin to register delay> <micro setup delay>
  22. S-Video

    по параметрам моя железяка на студийную не тянет, самое лучшее что она может делать - это стандартный профиль. несжатое оцифрованное видео (ITU-656) может принять, по крайней мере, порт на плате есть. 300мВ, скорее всего, высокоскоростной интерфейс на LVDS-се? В данной железке все порты с данными параллельные на несимметричных линиях. В общем понятно, что заказчику, если уж сильно хочется, надо покупать нормальный транскодер системы цветности, а не пытаться из одной шкурки барашка семь шапок выкроить :).
  23. S-Video

    Из-за чего возник сыр-бор... на работе (где же еще :)) шеф притащил плату энкодера (MPEG2 + звук) и сказал разобраться, как через нее "протолкнуть" SECAM ТВ программу (входы на плате RCA разъем CVBS, два разъема RCA для аудио, S-Video; выход - параллельный транспортный поток). в аналоговом ТВ я не силен, вот и решил расcпросить у знатоков разницу между S-Video и CVBS. :) точно подмечена в расшифровках аббревиатур суть систем цветности...
×
×
  • Создать...