Перейти к содержанию
    

Alex77

Участник
  • Постов

    721
  • Зарегистрирован

  • Посещение

  • Победитель дней

    1

Сообщения, опубликованные Alex77


  1. ну как бы сэкономить можно только на синтезе. имплементация обязана быть для каждого ucf. тк просто так "переставить выводы" без нарушения времянок (и др. вещей)- крайне редкое событие.

  2. Download Vivado ML Edition 2022.2.2 now, with support for:

    • Speed grade updates:
      • -2HP Speedfiles in production for the following devices: XCVC1702, XCVC1502, XCVE1752 and XCVM1502 
      • -1MP, -2MHP, -2MP Speedfiles in production for the following devices: XCVP1802, XCVP1702, XCVP1502
      • -1LP, -2LP, -1LHP, -2LHP Speedfiles in production for the following devices: XCVP1202 


    For customers using these devices, AMD recommends installing Vivado 2022.2.2.  For other devices, please continue to use Vivado ML 2022.2.

  3. Какая связь между "Zynq US+" и "Versal" ?

    Ответ: никакая.

    Проверить как "живет" "Zynq US+" из 2021 в 2022 занимает по времени:

    2ч на скачивание

    1ч установка

    1ч импортирование

    + перекур

    == максимум пол дня.

  4. вот блин упёртые... читаем внимательно

    https://support.xilinx.com/s/question/0D52E00006pzg1GSAQ/accessing-xadc-on-zynq7000-over-jtag-using-hardware-manager?language=en_US

    унифицируйте работу с v.2021.2  на исправную v.2022.2

    можете считать что угодно и как угодно, искать кто кому должен - НО если изначально глючный инструмент - возьмите исправный.

  5. ну значит есть нюансы - которые надо учитывать. например sdk значительно отличаются по строению проектов.

    пс: и не надо пользовать 2021.х - глючен в части jtag сервера.

  6. может и не богато, однако с десяток вариантов синтеза и 30-40 имплементрации. плюс в "опциях" есть числовые параметры (которые тоже можно менять). так что от 500 вариантов сборки проекта. - на месяц работы (кругло суточной) компа хватит.

    а по хорошему стоит посмотреть rtl/synt/imp "methodology". и сделать соответствующие выводы.

  7. если смотреть (на сколь смог залезть) во "внутрь" дров для uart от вивадо (zynq) до 2021 (примерно) - то там только "одно поточный драйвер" (за линукс не скажу). врядли что то принципиально сделано по другому для других soc.

  8. есть программисты, а есть инженеры. программист решает все аппаратные проблемы программой, а инженер программные паяльником. результат плачевный... велик и могуч русский язык - но "нужных" слов не хватает. и практически бесполезно объяснять что тем что этим в чём они не правы. это как фанатики религиозные...🙁

  9. А как же питание самой плисы ?!

    Vccint

    Vccaux

    Vccbram

    Может быть для JTAG и достаточно VCCO_0.

    НО дурацкий вопрос "А куда будете загружать, если отсутствует питание того во что заливается прошивка" !!!???

     

  10. Правильно ли я понял что плиса в кроватке ?

    Если так, то кто мешает вынуть плису и "тупо прошить любым адекватным программатором загрузочную ПЗУшку"?

    Установить  режим загрузки из ПЗУ выводами M0M1......M...

    Посмотреть результат

  11. FPGA-Systems.ru

    "аляповатый сайт созданный эффективными менеджерами" ИМХО.

    сайт совершено не адаптирован для мониторов меньше 20"

    Картинки на весь экран не  несущих смысловой нагрузки, а где-то в уголочке "полстрочки полезной информации".

    пс: на без рыбье и этот рак рыба.

  12. мы пойдём другим путём...

    не создаём блок дизайн.

    давим йпи каталог

    выбираем нужную корку

    генерим

    получаем корку и шаблон

    шаблон применяем в проекте

    ....

    • Like 1
  13. 1. что мешает в "ручном" режиме собрать два проекта ?

    один для железа, а другой для софта (но для этого надо уметь пользоваться софтом). Для этого совсем не важно виндовс это или линух.

    2. Можно по пробовать подменить версию вивады в соответствующем *.tcl

    к примеру в system_v1.tcl в строчке

    set scripts_vivado_version 2015.4

    на нужную версию.

    Есть особенность возможно в новой виваде будут отсутствовать корки которые были только в 2015...

    3.  и ещё надо "правильно" указать в

    create_vivado_proj.tcl

        set_property board_part em.avnet.com:zed:part0:1.3 [current_project]

    производителя/имя платы/версию - на ту что есть (в смысле описания).

    4. ну или по "картинке" вручную нарисовать БД ?

×
×
  • Создать...