Перейти к содержанию
    

Разработка микросхем в САПР Cadence, Synopsys

Кстати, давно хочется поиметь TCAD.

Но никак не удается.

Это возможно?

 

http://www-tcad.stanford.edu/tcad/programs.html

http://mems.mirc.gatech.edu/ece4752/install.html

http://home.comcast.net/~john.faricelli/tcad.htm

- довольно старые, но вполне работающие проги (и бесплатные).

 

А коммерческие версии - нереально.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Давно знаю, что большинство спецов, занимающихся техпроцессами, - люди зажимистые. Даже когда сами "украдут" - с другими не поделятся....

Я не в плане кого-то обидеть, просто, видать, такой должен быть склад характера у технолога, "чахнуть над златом"....

 

Да, вот так вот получается почему-то, что можно добыть любой пакет.

Даже, например, сверхдорогие от Каденсов, Паккардов или Менторов.

А вот TCAD нельзя, видете ли.... Смешно, право....

 

Ну да ладно.... Я лично никак не пострадаю....

Только впредь, наверное, прежде чем делиться с кем-нибудь "пользой", стоит спросить, - а не технолог ли вы, батенька?

 

Не обижайтесь, технологи!

Мы вас любим, но от комплексов надо избавляться....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

мы используем synopsys и silicon ensemble. хотя такого рода работа была редкая, сейчас, надеюсь, будет больше. я тихо начал ковырять эти средства. из описания -- советы одного коллеги и небольшая лаба буржуйского института%) (могу выслать на мыло)

 

хотелось бы попробывать другие синтезаторы. build gates говорят интереснее системой команд, хотя нет-нет, да глюканет. или synplify for asic, на картинке (читал про него немного) оч красиво выглядит, но где его взять совершенно не представляю (

 

кста, кто-нть пишет на vhdl? либо так привык к верилогу, либо он действительно для матерых серъезных дядек. да и в каденсе верилогу приоритет.

 

2zzzzzzzz: насчет технологов и технологий. людей занимающихся этим в стране мало. почти все они знают друг друга лично. отношения между многими натянутые, сами они люди еще той формации. многие просто ничего не хотят говорить другим по свои причинам. а их прямые подчиненные могут получить конкретный втык от своих начальников. такшта, осторожничаем в форуме и ждем людей в форме после ужина%)

 

совок, ей-богу(

 

кстати, а как насчет временного анализа? кто, чего, куда и как?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хотелось бы попробывать другие синтезаторы. build gates говорят интереснее системой команд, хотя нет-нет, да глюканет. или synplify for asic, на картинке (читал про него немного) оч красиво выглядит, но где его взять совершенно не представляю (

Ищите(synplifyAsic) и будет вам больше счастье.Кстати на фтр есть версия,но без кряка.

кста, кто-нть пишет на vhdl?

ну я пишу.А в чём проблема?

кстати, а как насчет временного анализа? кто, чего, куда и как?

Временного анализа чего?Времянка после топологии или временное моделирование?Или что-то другое?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проектирование микросхем - это очень широко, надо конкретизировать каких:

- аналоговых

- цифровых

- смешанных

 

а еще лучше указать конкретную задачу (проект) и что вам поручено (схема, VHDL или Verilog описание, топология и т.п.) и ваш уровень: начинающий, опытный и т.д.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проектирование микросхем - это очень широко, надо конкретизировать каких:

- аналоговых

- цифровых

- смешанных

а еще лучше указать конкретную задачу (проект) и что вам поручено (схема, VHDL или Verilog описание, топология и т.п.) и ваш уровень: начинающий, опытный и т.д.

 

А зачем Вам это? Ну например я делал цифровые, теперь делаю смешанные. Все этапы от постановки задачи, архитектуры, аналоговых схем, верилога и до gds и отправки на фабрику. Вот по ссылке первая чисто цифровая (на 0.5 um TSMC). http://www.venus.ru/news.php?id=67&arc=0&sct=1 Все разработки касаются телефонии.

 

хотелось бы попробывать другие синтезаторы. build gates говорят интереснее системой команд, хотя нет-нет, да глюканет. или synplify for asic, на картинке (читал про него немного) оч красиво выглядит, но где его взять совершенно не представляю (

Пробовал и того и этого. Еще леонардо забыли. И его пробовал. Против синопсиса с ultra optimization и всем остальным никто из них не катит по качеству синтеза (получаемой времянке и площади).

кста, кто-нть пишет на vhdl? либо так привык к верилогу, либо он действительно для матерых серъезных дядек. да и в каденсе верилогу приоритет.

Да никто вроде не запрещает. Но зачем? Писать больше, синопсис его хуже поддерживает, кэденс так тем более. Можно даже и на AHDL, как это я делал в первый раз с первым асиком.

кстати, а как насчет временного анализа? кто, чего, куда и как?

Статического? Да вроде праймтайм. Не вреть особо. Главное кто и как SDF сгенерил :) А можно не выходя из сок-енкаунтера. Но что-то доверия ему меньше. А особо важные моменты можно и спайсом лишний раз прогнать после разводки, lvs-а и экстракции всяких гадов...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2SM

"Пробовал и того и этого. Еще леонардо забыли. И его пробовал. Против синопсиса с ultra optimization и всем остальным никто из них не катит по качеству синтеза (получаемой времянке и площади)."

 

А я вот Синопсисовский-то и не пробовал.

А он, оказывается зэ бест...

Можно ли как-то исправить этот пробел?

В смысле дистрибутива & таблетки, а? Плиз.

Lib-file своей библиотеки давно написал, - надеюсь, его можно будет конвертнуть во внутренний формат.

 

Кстати, SM, не попробовал ли SOC5.2?

Я пока не закачал, а вроде бы он залит уже давно - xyz называется, около 400М. Название, однако, подозрительное какое-то... Что-то напоминает.

 

Насчет Симплифая у меня опыт негативный.

Для ASIC имею ввиду (SynplifyASIC304).

Несмотря на многообещающий интерфейс...

Выползают регулярно глупости разные в схемах.

Например, цепочки лишних инверторов там где не надо лепит. И на выходе у него нет никаких форматов, кроме структурного V. Нет edif-a, blinnnn. Такое впечатление, что он сыроват.

Если есть желающие поэкспериментировать - подкину дистрибутивчик с таблеткой.

Так что, пользуюсь Леонардо. Лучше не попадалось мне (пока?). Не говоря уж про то, что он под Вин живет. Мелочь, а приятно...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А я вот Синопсисовский-то и не пробовал.

А он, оказывается зэ бест...

Можно ли как-то исправить этот пробел?

В смысле дистрибутива & таблетки, а? Плиз.

ну /pub/eda/....

Lib-file своей библиотеки давно написал, - надеюсь, его можно будет конвертнуть во внутренний формат.

Library Compiler. В составе.

Кстати, SM, не попробовал ли SOC5.2?

Я пока не закачал, а вроде бы он залит уже давно - xyz называется, около 400М. Название, однако, подозрительное какое-то... Что-то напоминает.

недозалитый он....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если не в тему - извините!

На FTP выложен Get2Chip.

Я так понимаю, что в данный момент эта фирма уже куплена Cadence.

Вопросы:

1/ Кто-нибудь с этим работал? - есть ли лекарство? и каковы ощущения от продукта?

2/Удалось ли Cadence что нибудь из Get2Chip-овских фич прикрутить в свои продукты ?

(Они во всяком случае об этом говорили при покупке).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если не в тему - извините!

На FTP выложен Get2Chip.

Я так понимаю, что в данный момент эта фирма уже куплена Cadence.

Вопросы:

1/ Кто-нибудь с этим работал? - есть ли лекарство? и каковы ощущения от продукта?

2/Удалось ли Cadence что нибудь из Get2Chip-овских фич прикрутить в свои продукты ?

(Они во всяком случае об этом говорили при покупке).

ИМХО SOC Encounter и есть продолжение Get2Chip.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ИМХО SOC Encounter и есть продолжение Get2Chip.

А не Silicon Ensemble оно (то, что енкаунтера породило) разве раньше называлось?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто-нибудь может порекомендовать какую-нибудь литературу по проектированию микросхем?

Могу порекомендовать книгу "R. JAcob Baker: CMOS Circuit Design, Layout, and Simulation". У меныа есть pdf-и, но залить не могу, нет доступа. Зато могу прислать по меилу кусками.

 

Ксати, есть у меня библиотека Артизан 90нм, для TSMC, но нет Synopsys DS, для синтеза. Я знаю что на ФТП он есть, но доступа не имею. Друзья, могу поделится библиотекой, в обмен Synopsys DC. :).

Изменено пользователем shems

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to shems

... библиотека Артизан 90нм, для TSMC...

А каков размер этой библиотеки?? (в МВ)

И как она полностью называется?

 

Спасибо

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да похоже я всё-таки нашёл с кем можно по нашим вопросам пообщаться. Отлично.

DC - c включенной ultra - не оптимизит площадь, кстати

лет 7 занимаюсь цифрой на фабриках, пользую верилог.

моделирование - кэднс энси верилог

синтез дезайн компайлером от синопсиса

верификация в плис через леонардо-фаундэйшн

 

кстати в горсети нашёл писишный кэденс и диси - всё работает - ставится без проблем - глючит немного подругому чем на станциях сановских - но работает очень шустро - могу поделиться если в свои пустят 8))

 

вопрос - какую версию ДИСИ кто пользует?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...