Перейти к содержанию
    

Lefthander

Свой
  • Постов

    36
  • Зарегистрирован

  • Посещение

Весь контент Lefthander


  1. Естесственно LVDS есть. Но вы не поняли вопроса, мне нужен готовый канальный уровень, т.е средства которые берут на себя передачу данных, преобразование параллельного кода в последовательный, обнаружение ошибок. HDMP-1022|1024 - этоо если я неошибаюсь просто приемопередатчик, который реализует PHY уровень, доступ к физ. среде, просто говоря подключению к LVDS. Просто своими силами организовывать на ПЛИС несколько LVDS линий, плюс все средства о котрых я сказал, это много потраченного времени. <{POST_SNAPBACK}> Посмотрите чипсет от National DS92LV1012/DS92LV1212.
  2. Ответ на вопрос кто лучше дает экономическая целесообразность, если микросхема, например, фирмы Altera удовлетворяет требованиям по ресурсам и быстродействию и она стоит дешевле аналогичной микросхемы от Xilinx - значит она лучше. Еще один момент,который следует учитывать это доступность под рукой софта и умение им владеть. В идеале нужно владеть и тем и другим,дабы иметь возможность свободно выбирать. Как альтернативный вариант,можно "сесть" на продукцию от одной фирмы на выбор,а продукцию конкурента считать не заслуживающей внимания... На счет радиационно-стойких микросхем от Xilinx,то их цена просто безумна,и так просто их никто в СНГ не продаст... В этом плане выгодно выглядывает из-за бугра фирма Actel,но у нее тоже есть свои нюансы. На счет стоимости, если сравнивать цены между микросхемами с одинаковой начинкой по ресурсам,то альтера на единицы бакса дешевле, но в любом случае Xilinx выигрывает по трассировочным ресурсам,а это быстродействие проекта. Проше говоря, поговорите с вашим снабжение и уже потом решайте,что для вас лучше... :)
  3. Выход один - создавать самому. И еще неплохо было бы пользователям ментора объединиться и хотя бы в рамках этого сайта на фтпшник выложить центральную библиотеку с возможностью добавления пользователями компонентов. У многих наверняка есть наработки собственых библиотек.
  4. Новые версии ISE подразумевают улучшенную поддержку новых семейст, об улучшенной разводке "старых" семейств Xilinx хотя и заявляет, но на практике все получается в худшую сторону. Я вообще в последнее время сижу на ISE 4.1.
  5. Если Зеленоград не смущает,то вот посмотри у них - http://www.zelax.ru/vacancy_3.html
  6. Продолжая тему работы в среде DxDesigner хочу сказать следующее: очень не понравился редактор символов и визард символов для схематика. Возможно я что-то не понял,но редактор символов для Design Capture гораздо удобнее и более понятен для пользователя.Очень не удобно создавать собтвенные УГО.
  7. Продолжая тему WG2004. Хотел бы спросить вот что - есть ли принципиальная разница между DxDesigner и DesignCapture? А то вот начал изучение WG c выбора DC/DV flow и начали терзать смутные сомнения,что DxDesigner более функционально развитая среда. Стоит ли перейти на DxDesigner?
  8. Насколько я знаю EDIF2000 один стандарт для всех. И отличия могут только быть в библиотеках примитивов. У вас схема нарисована в примитивах библиотек альтеры. И как бы вы не переносили проект он перенесется в примитивах альтеры и никакого друго edif'а вы не получите. Другое дело существуют программы трансляторы которые переносят проекты из одного библиотечного базиса в другой, это используется при проектировании ASIC'ков. И трансляцией из одного базиса в другой занимается фирма которая уже будет печь вам заказные микросхемы,так как библиотеки для заказных микросхем налево и на право не раздаются,а софт для трансляции и подавно. В бытность когда я участвовал в проекте по изготовлению асика,мы прототип делали на FPGA Xilinx и передовали в производство нетлист в формате edif2000, проекта в базисе примитивов xilinx'а, плюс набор тестов с максимальной глубиной покрытия. Поэтому мне кажется вы зря паритесь по вопросу переноса проекта,берите edif из MAX.
  9. Я бы сделал маленькую платку с AGP слотом и FPGA по жирнее на которой бы реализовал эмуляцию шины AGP. И можно было бы пользовать видеокарты хоть самые современные... Другое дело как быть с управлением видеокартой по AGP,но имхо это будет по проще чем реализовывать видеопроцессор. ИМХО конечно. :D И делать на коленке видеокарту это - радиолюбительство какое-то... Не серьезно как-то...
  10. 1. Форматы файлов MAX и OrCADa разные. 2. OrCAD очень слабо приспособлен для разработки ПЛИС. 3. Не тратьте зря время - работайте в Quartus'e.
  11. Сложные - слоев до 18-ти, а BGA - много. На одной из моих плат было: DSP, RAM, flash, буфера, FPGA x2, Ethernet и еще чего-то не считая россыпи. Топология 0.1/0.1мм, переходные отверстия сквозные. А теперь ехидный вопрос - подобная сложность дает мне право называть убожество убожеством или нет? Воля ваша, разумеется. Но у меня серьезный вопрос вы платы указанной сложности разводили целиком в протеле? Т.е. при всей его "убогости" он справляется с платами такой сложности?
  12. Нуууу... В Activ-HDL редактор удобный, а modelsim - Mentor всётаки. ;) Немного не ясная аргументация в пользу моделсима... ;) На мой взгляд оптимальный вариант - FPGA Advantage + ModelSim + Synplify + ISE
  13. А какой сложности платы вы в нем делаете? Интересует колличество слоев и корпусов бга.
  14. А вы с ним работали? Что б так бросаться словами...
  15. Не очень понял, что именно наоборот. Рекомендуете два внешних слоя делать сигнальными, а питания загонять внутрь? Можно и так, но о достоинствах и недостатках я уже писал. Совсем уж не понял фразу о "любви" наладчиков, и, главное, кто такие "наладчики". Для современных плат (цифровых) никаких "наладчиков" нет и быть не может - чего там налаживать, оно или работает, или нет. В 9-ти случаях из 10-ти ежели не работает - tough luck, проше и дешевле выбросить плату, чем с ней мудохаться. Но это речь о производстве, в разработке все по другому - там ты сам и есть "наладчик", так что любить будешь сам себя. А по поводу КЗ во внутренних слоях - их там быть не ДОЛЖНО по определению, а если все-таки есть - меняйте изготовителя плат и не жалейте денег на bare board test (обязательно!!!). А в общем случае искать КЗ почти невозможно и во внешних слоях, но это уже дефекты пайки - если коэффициент заполнения 0.8 и стоит пяток-другой BGA, то поищи. Да, кстати, еще о bare board test. Если вам предоставляют сортификат о тестировании каждой платы, а после этого где-то на плате внутри обнаруживаются КЗ/обрывы, то вы имеете полное право потребовать с изготовителя возмещения всех расходов на компоненты, сборку, "наладку" и т.д. И мы это пару раз делали - разок французы залетели на пару M$, правда после этого они отказались с нами работать, ну и фиг с ними. А при тех же хомутах в наружных слоях почти никакие претензии не принимаются, все можно списать на плохую пайку, складирование, bad handling и еще много всякой фигни. Во расписался :D На счет конфигурации слоев я имменно это и имел ввиду. Внешние слои сигнальные,внутренние под питание и землю. Извините,но у меня создалось впечатление,что вы непуганый оптимист... :) (ничего личного) Любое цифровое устройство проходит стадию наладки,в той или иной форме,в начале самим разработчиком,а когда идет в серию,то это делают уже другие люди. И если разработчик всегда сам разберется в том,что он нагородил, то люди которые будут собирать и запускать серию устройств его часто будут помянать добрым словом в случае непродуманного проекта печатной платы. КЗ бывает. И это жизненные реалии. То что их быть не должно,я свами согласен. Но факты остаются фактами. Есть определенные методики выявления КЗ во внутренних слоях. Иностранная культура производства сильно отличается от отечественной в лучшую сторону. По возможности я стараюсь изготавливать платы за рубежом, но не всегда есть такая возможность...
  16. Все на оборот. В противном случае вас будут долго "любить" по всякому наладчики этой платы... Нет ничего страшнее поиска кз во внутреннем слое...
  17. Наверное все же - ModelSim, а не ModelSym? :) И можно вопрос - зачем вместе держать AHDL и ModelSim ? А на счет,компиляции,то компилировать надо библиотеки для моделирования,можно скриптом от xilinx,можно скриптом в modelsim или прямо через gui в modelsim. А можно скачать с сайта xilinx уже скомпилированные библиотеки. Как все пользовать подробно изложено в документации...Её и курите. :)
  18. Профессиональный стаж 5 с лишним лет. Специализация цифровая схемотехника - ПЛИС Xilinx,Altera. Работал в области - телекоммуникаций,инф. безопастности,обработки изображений и видео. Территориально - Москва.
  19. Ну в каком то приближении все же можно промоделировать... Представив процессор в виде развесистого автомата с кучей портов,потом на www.opencores.org находится довольно большое количество моделей процессоров всех мастей,ну и наконец можно задействовать расширенные возможности программы моделирования,в случае использования ModelSim можно задействовать технологию FLI и уже на С/C++ изголятся как только можно.
×
×
  • Создать...