Перейти к содержанию
    

MadMakc

Свой
  • Постов

    243
  • Зарегистрирован

  • Посещение

Весь контент MadMakc


  1. Пример в студию. Ну или подскажите куда копать хотя бы.
  2. а хочется 2,5 мм :( надо попробывать. Авось привыкну. проблема в том, что некоторые схемы в КД ухотят. А там ГОСТ и кратность 2.5 приветствуется. Эх, видимо не судьба..
  3. В схематике рисую в метрической сетке.А поэтому после каждого старта схематика или symbol Editor-а приходится: 1. Ставить метрическую сетку. 2.если идет изменение символа, то и подгон точки привязки под метрическую сетку. Не существует ли способ, как заставить стартовать PCAD сразу в метрической сетке?
  4. Хочу нарисовать компонент ВЧ-разъёма( угловой такой,на кооксиал 50 Ом). Нарисовал патттерн : 4 ноги "земля" и одна сигнальная. Нарисовал символ : 2 пина, один сигнальный, один земля. Проблема в том, что я не могу собрать компонент. Не могу объяснить PCAD-у, что на один пин в схематике приходится 4 пина в паттерне. Кто что посоветует с этой проблемой? З.ы. на данный момент вышел из положения, нарисовав в символе 5 пинов( 1 сигнал, 4 земли).Но это не красиво как-то...
  5. А вы в курсе, за сколько они это предлагают? А я вам скажу. 70 тыс. евриков- и пользуйся в своё удовольствие. При этом исходники не дадут, а дадут только нетлисты.И это без FPU.
  6. ну если подозрение на чипскоп- смените версию версию и проверьте.Может поможет. Заодно вопросик: у вас сигнал SPI_CLK идет с триггера?
  7. что же в вашем понимании минимальная конфигурация?И почему в полностью конфигурируемом Леоне вы не смогли её достигнуть? складывается ощущение, что grlib выложили специально, чтобы юзвери сидели и мучались. :angry2: config.vhd+ исходники- собирайте любую систему и выбирайте для себя ньюансы. позволю не согласиться.помоему нет ничего круче, чем собирать систему изменяя только поля в config.vhd. Или для вас вариант переписывания какждый раз 5-10 исходников более подходящая альтернатива? почитайте про конфигурацию устройств. Особенно раздел AHB plug&play configuration.Все он там собирает без проблем.О чем и радостно сообщит вам, если вы заведёте моделирование. Как я понял, этот этап вы пропустили.А зря.Там есть такая прикольная фича- в консоль выводятся выполняемые процом адреса и операнды.Незаменимая вещь на первых парах. зато закрытых.... :) . И на последок вопросик- А чем вам связка ARM+ПЛИС не устроила? или платы подходящей не было?
  8. Хорошо что напомнили!Значить так.Синплифай Ваш либ-файл переварил(мало ли,у вас такой информации нет). Теперь про мнения, замечания, пожелания. Мда...давно( а точнее никогда :) ) я такого репорта по площади не видел.Ну да ладно.В начале топика Вы пердложили не чмырить отечественные технолологии, а брать что есть, поэтому и я не буду. Ну да я отвлекся. 1.Какой максимальный размер кристалла вы можете сделать? 2.В библиотеке обнаружил немеряное количество трехстабильных буферов всех мастей и мощностей.Почему их так много ? 3.Почему в библиотеке остальные элементы только одной мощности? Пока всё.
  9. Впечатления положительные.Особенно, если вам придется работать в области HiSpeed&LowCost. Чипы уже давно предлагаются, софт похож на Xilinx. Но лучше для начала купить стартовый набор от Xilinx или Альтеры и поиграться с ним.Почему Xilinx или Альтера? Да потому что их привезут бысто.
  10. Продолжаем ЛикБез по проектированию отечественных кристаллов. На сколько мне известно- результат работы трассировщика- gds-файл.Вопрос- как Вы тогда этот gds на родные станки портируете?
  11. Приятно удивлён. А софтина, которая разводит, может после разводки выдать нетлист с sdf, который бы замоделить можно было? И как она, эта софтина называется, если не секрет? Выкладывайте, мало ли,пригодится.
  12. Правильно сделали.Я думал что всё намного хуже.А тут смотрю - lib-файл написали.Я демал, что и этого у нас нет. А HDL-модели для моделирования есть в природе?
  13. Ну что ж, раз начинаем флем, то вот вам для затравки: Это Гайслер и компания про синтез LEON3 написала.
  14. Вобщем и весь вопрос- где можно найти описание на это "битовое расширение Бейсика"?Сайт Альтеры облазил- не нашёл.
  15. Бойкот.Только Синопсису это ваще-то пофиг.Года идут, а поддержка VHDL как была хреновой так и осталась. Даже упомянутый вами Gaisler пропатчивал LEON чтобы его синопсис синтезил без проблем. Лепетёнок AVR свой, на сколько мне известно,тоже под синопсис проц доводил. А вот синопсис, походу никто не патчит....а жаль. Но можно.Синплифай одна из альтернатив.Есть ещё и Bild Gates, но это Кеденсовское творение, я вообще сомневаюсь, поддерживает ли он VHDL. :)
  16. вот вам тема: http://electronix.ru/forum/index.php?showt...mp;#entry167575 там то ли 2 то ли 3 примера.
  17. Когда нам приспичело купить микросхему флеши - мы купили USB-флешку и отдуда выпаили.Время поставки было 20 минут :). Правда с вашей температурой( -40...+85) походу этот вариант не прокатит...
  18. Я сталкивался.Проблему не решил.А скопмилить я решил модель памяти самсунговскую. Альдек честно сказал: это шифрованный файл, идите к производителю и просите файл шифрованный под альдек.Я в самсунг написал по этому поводу гневное письмо- жду... При попытки скомпилить под кеденс выдал 2.7 млн варнингов. правильно ли скопилил не знаю, т.к. не я компилил, а попросил людей добрых - у меня ни кеденса, ни линуха под рукой не оказалось.
  19. to SM. Теперь можно будет повышать квалификацию и на синопсисовском софте! http://www.zelenograd.ru/news/view.php3?id=761
  20. Ну и ещё ложка дёгтя в бочку Синопсиса. function LOG2(Number : positive) return natural is variable Temp : positive; begin Temp := 1; if Number=1 then return 0; else for i in 1 to integer'high loop Temp := 2*Temp; if Temp>=Number then return i; end if; end loop; end if; end LOG2; Результат ещё смешней- "end of memory". Отправлял этот код представителям в России.Мне сказали, что и код у меня кривой, а софт у меня ломаный. integer'high нужно заменить на 128 и радоваться жизни.Самое смешное, что эту функцию скачал где-то в инете в году этак 2002.Пользовался, пока год назад не выяснилось, что оказывается, что Синопсис это синтезить не хочет.Воть.
  21. Дешевая CPLD- это FPGA от Латтиса серия XP.Это полноценная fpga с флешкой на борту и возможностью работать от одного питания 3.3 В.И ценой 11-13$ за 3000 LUT.
  22. Народ налетай! В Харькове завелись альтруисты с 15-летним опытом разработки!!! З.ы. Это я к чему.Просто девиз нашей конторы(перефразировав Ваш) : Ваше ТЗ и ваши деньги- с нас железка в срок!!!
  23. Не знаю.И что обидно, что в описании на синезатор эта ситуация не разруливается. А чем,если не секрет, вам энкаунтер не угодил? И ещё, в догонку :). Как на hi speed clock gating влияет? Не уменьшается скорость, по сравнению с обыкновенным клоком?
  24. была такая проблема.Как нормально сделать- не знаю. Народ делал так. Во первых мультиплексор в отдельный модуль- иначе энкаунтер его почему-то с удовольствием раскидывает по всему кристаллу. синтзатору указывали наличие клока на цепях входящих в мультиплексор(пусть это будут clk1 и clk2).А так же клоком назначали цепь, выходящую из мультиплексора (пусть clk_out). А потом назначали констрейны на время от фронта одного клока до фронта второго(от фронта clk1 до фронта clk_out и от фронта clk2 до фронта clk_out). На сколько это помогает судить не могу.Впритык с этим проектом не работал.
×
×
  • Создать...