Перейти к содержанию
    

georgy31

Свой
  • Постов

    463
  • Зарегистрирован

  • Посещение

Весь контент georgy31


  1. Нет, ничего не выходит. Надо попробовать через оператор цикла попробовать. Через 3 цикла reset = 0 Примерно так как то.
  2. Да, теперь начал компилироваться, только на выходе ничего нет почему то, ни малейшего движения. Видимо надо какую то размерность указать. Типа resetb = reseta +1'b1. Или как то иначе? В новой редакции более правдоподобно. Пойду симулировать.
  3. Пробовал по всякому. Выдаёт синтаксическую ошибку.
  4. Решение конечно красивое, если бы работало. module one (clk, alarm,reset); input clk; input alarm; output reset; reg reset; reg reseta; reg resetb; reg resetc; always @(posedge clk or posedge alarm) begin if(alarm == 1'b1) begin reseta = alarm; resetb = reseta; resetc = resetb; end end assign reset = resetc & !reseta; endmodule У меня получилась такая запись. Но в строчке assign reset = resetc & !reseta; находит ошибку и не компилирует. Что я сделал не так?
  5. Да я уже перепробовал много вариантов. В лучшем случае, сигнал повторяется многократно. А нужно что бы импульс в пару клоков подавался при подаче управляющей команды (alarm) для обнуления всего остального и вывода новых данных на дисплей. Не при включении питания, а именно при подачи команды. always @(posedge alarm or clk) begin if (alarm ==1) begin (clk = clk + 2'b01) end else begin clk >= 2'b11; end begin clk = 2'b00; end
  6. always @(posedge alarm) begin if (alarm == 1'b1)end if else begin ( CLK == CLK +2'b10 ) (posedge CLK =0 ); end end Вот у меня такое уродство вышло, но не компилируется, какую то чушь несёт. CLOK - тактовая частота, alarm - сигнал дающий импульс для обнуления системы.
  7. Я примерно так и написал, но он не останавливается после 1го импульса, а молотит постоянно. Может его в "initial" можно как то вклинить?
  8. Прошу сильно не пинать, всего пару месяцев услышал про Верилог. Пытаюсь использовать, но тяжко больно. Появилась задача подать вначале основного кода обнуляющий выходной импульс отрицательной полярности размерностью в два "клока". Код получился на пол страницы, поскольку ресурсы плис ограничены, пришлось использовать наружный триггер защёлку. Наверняка всё должно писаться элементарно. Подскажите как сие организовать. Заранее благодарен.
  9. Да, нереально такое сделать с малыми затратами ёмкости плиски. А как простой АЦП сделать на 4 дискреты от 20 до 30 вольт? Хоть этот корпус АЦП бы выкинуть.
  10. Есть задача сделать простое АЦП на 4 дискреты, должны отслеживаться напряжения: 14, 85вольт, дальше 14,90, 14,95, и 15 вольт соответственно. Можно ли такое сделать в плиске, через делитель, не выше питания конечно? Сейчас стоит внешний ADD, но хотелось бы избавится от лишнего корпуса.
  11. Что, неужели ни у кого такого глюка не было? Я никогда не работал с МАХll, может там транзитный сигнал через буфер какой то должен идти?
  12. Подскажите спецы почему не проходит команда со входа на выход через элемент ИЛИ. Вход и выход подключены к внешним ногам микросхемы. ПЛИСка MAXll 1270. Думал что это брак схемы, спаял новый корпус там то же самое. Сигнал который формируется внутри корпуса, нормально проходит на выход корпуса, а транзитный никак. Уровень сигнала 3.3в, что в норме, пробовал уменьшать, не помогает.
  13. Если бы мои куцие мозги позволили мне сформулировать такое задание, я бы не нуждался в посторонней помощи. А так - есть код управления контроллером и константы, которые через мультиплексор подаются на него. Всё на схеме в теме выше. Один знак выводится на экран, правда непонятного содержания. Задача - вывести всё слово.
  14. Поступают только команды на вывод одного из пары десятков слов, причём некоторые слова выводятся на экран одновременно.
  15. Язык всё равно какой, сроки тоже не жмут, думаю спецу тут делать недолго. По оплате подумайте, за сколько бы это сделали.
  16. Необходимо выводить данные на двустрочный дисплей типа 1602 с плиски МАХ||. За основу можно взять, http://electronix.ru/forum/index.php?showt...15&start=15 только исправить, что бы выводился не один непонятный знак, а определённые слова.
  17. Хорошая схема для начала, у меня такая 100ампер на зарядку аккумов выдаёт. Сильно частотой не увлекайтесь и поставьте раздельное питание для каждого драйвера, всё будет работать.
  18. Да, всё на диске. В том числе и код инсталяции.
  19. Какое напряжение на двигателе? Есть готовый трёхфазный преобразователь 3х400гц. Если двигатель того стоит конечно.
  20. Продаётся новая отладочная плата, пока доехала программу свернули. Продаётся по себестоимости 3.5тыр. В комплекте диски и Бластер. http://www.altera.com/products/devkits/par...c-de0-nano.html
  21. Спасибо вам уважаемый, код вполне рабочий, я немного не дошёл до этой альтеровской страницы. Один знак он выводит на дисплей. Но мне надо вывести слово. Вот попробовал с помощью констант и мультиплексора, но ничего не вышло. Подскажите что опять не так сделал.
  22. Код написан не у меня, а у Альтеры. У меня проблема, что не проходят сигналы на два старших разряда DB_6 и DB_7. С чем это может быть связано? И не стоит раздувать щёки перед таким дилетантом, как я. Может по существу найдутся замечания?
  23. Перекинул концы на плиске, поменял выходы данных на дисплей, последние два с первыми, теперь на первых нет сигнала, значит всё таки в программе дело. Может я не правильно завожу в этот блок команды? Я подаю константы с буквами через мультиплексор на вход данных. Правильно?
×
×
  • Создать...