Перейти к содержанию
    

eugen_pcad_ru

Свой
  • Постов

    698
  • Зарегистрирован

  • Посещение

Весь контент eugen_pcad_ru


  1. 2Warcheber: Подскажите пожалуйста название ВУЗа, в котором на первом курсе задают такие курсовые. Заранее спасибо! 2des00: +1
  2. не силен в построении концепций :) Но в моделсиме например при компиляции есть ключ (что-то типа -check_synthesys). Лично я всегда думал, что использование этого ключа и помогает в ответе на часть приведенных выше вопросов. При условии грамотного подключения библиотек конечно. Я не прав? P.S.: В задачи моделирование входит построение модели, близкой по своей архитектуре и поведению реально синтезируемому устройству. Близкой, но не идентичной полностью. Построение модели используется, как правило, когда в силу определенного ряда обстоятельств (экономических, физических и т.п.) нет возможности исследовать поведение реального устройства.
  3. Всем привет! При попытке симуляции большой статической памяти modelsim сваливается с ошибкой (см. рисунок "error9-modelsim.png"). Теперь вопросы: 1 Как моделировать большие объемы памяти? Увеличение файла подкачки в операционной системе результата не дало. 2 Где почитать о кодах ошибок, выдаваемых modelsim-ом? А также о рекомендациях по избавлению от них. Заранее спасибо за ответы!
  4. Встроенная справка, datasheets, конкретные users guide для конкретных семейств на сайте производителя в неимоверных количествах (например, для spartan-6 http://www.xilinx.com/support/documentatio...artan6_hdl.pdf), тексты стандартов в конце концов. Неужели мало? :) P.S.: Универсальной справки не ищите, каждое семейство от каждой фирмы-производителя имеет свои особенности. А для обычной логики стандартные языки. Задача справочника, на мой взгляд, помимо приведения примеров синтаксиса, ознакомить пользователя с основными функциями САПР, языка, функций и т.п. А дальше копайте в даташитах.
  5. Кнопка "Template" (желтая лампочка) в ISE. Щелкаете и получаете требуемое:)
  6. 1 используйте модель после синтеза 2 используйте модели до синтеза но с задержками (использование конструкции типа "after xxx" в vhdl или похожую - не знаю какую - в верилоге) имхо иного не дано
  7. Думается так. На vhdl есть отечественный стандарт ГОСТ Р 50754-95. То есть исходные тексты разрабатываемого ПО должны соответствовать ГОСТу... Разрабатываемое ПО должно иметь следующие функции:... В общем, что сами напишите. Непонятно, Вы хотите купить или продать?
  8. Есть фрагмент VHDL-кода, к сожалению в ISE не синтезируемый process (start_pulse, end_pulse) begin if falling_edge(start_pulse) then something_works <= '1'; elsif falling_edge(end_pulse) then something_works <= '0'; end if; end process; Переписал, но получилось не эстетично :) Прошу помощи в поиске красивого решения (язык v или vhdl не принципиален). Можно добавить clk, он там за кадром присутствует. Заранее спасибо!
  9. Не изобретайте велосипед. Скачайте готовую реализацию от упомянутых выше производителей или с fpga4fun. Не понравится, доработаете под Ваши требования.
  10. Вообще-то ISE поддерживает мультипроцессорность. Во всяком случае версия 14.7.
  11. Не знаю, всё нормально компилировалось. Версия ядра? P.S.: Да, там могут быть парамктризируемые модули. Ищите место ошибки.
  12. Такие да не такие. Сравните выходной ток. Или я не прав?
  13. Аналог SN74BCT25244 и (или) SN74BCT25245. Такого класса микросхемы нашел только у TI.
  14. Был такой артефакт на обычной ПЭВМ. Там проблема оказалась в видеокарте.
  15. Измерение RMS - это ОСНОВНАЯ функция измерительного прибора. Именно в этом режиме например измеряется напряжение промышленной электросетисети. Если прибор RMS не измеряет, зачем он нужен? Может быть я не понял вопроса? Если так, поясните пожалуйста.
  16. Тип ПЛИС? Какой используете программатор (железо)? Какой используете САПР (софт)? Вы прошивали или чужое изделие?
  17. Генератор сигналов произвольной формы? Хараткер помех выбрать из требований ТЗ, создать соответствующую осциллограмму, залить в память генератора и проигрывать в цикле?..
  18. Это два разных продукта. На них разные цены (см. на сайте). Вы вправе приобрести Vivaldo и (или) ISE.
  19. Возникла идея освоить микроконтроллеры. Заказал образцы у freescale. Микросхемы: МС56F82743 ММ912Н634D Пришли неожиданно быстро, даже в документацию не успел вникнуть :) Теперь вопросы: 1 Среда разработки? CodeWarrior? Версия? 2 Чем программировать? Подойдет схема, готовый дешевый программатор от китайцев и т.п. В общем любое бюджетное решение. Заранее спасибо за ответы! P.S.: выбор пал на freescale в связи с тем, что у них, на мой взгляд, проще всего заказать образцы :) Если есть альтернатива (по принципу дешево и сердито), также принимаю рекомендации. P.P.S.: Может быть, что-то в этом роде уже было. Поиском ничего не обнаружил... Может плохо искал, тогда прошу помочь ссылкой.
  20. Извините, в Вашем первом посте стоит многоточие... Тогда три вопроса: 1) За какое время Вам надо посчиать? 2) С какой точностью Вам надо посчитать? 3) сколько гармоник вам надо посчитать? P.S.: БПФ по сути является набором фильтров, настроенных на N гармоник. Можно использовать K фильтров или БПФ. В зависимости от числа необходимых к подсчету гармоник или от используемой вычислительной мощности. Что Вам критичнее: время, точность,стоимость?..
  21. Оцифровать сигнал, сделать БПФ и посчитать?
  22. В случае исключения вариантов утечки исходников и полного переписывания всего железа рискну предположить, что молодой спец мог: 1) доработать софт верхнего уровня (судя по всему маловероятно) 2) доработать само устройство, закоротив скажем две ножки на планарном корпусе, одну при этом приподняв. В общем сделать такую доработку, которую без тщательного поиска не обнаружишь, но приводит к желаемому результату без переписывания "чего-то там" 3) обладать хорошим чувством юмора :) Рассказать с умным видом о дизассемблировании прошивок и попросить за это нехилую премию :)
×
×
  • Создать...