Перейти к содержанию
    

eugen_pcad_ru

Свой
  • Постов

    698
  • Зарегистрирован

  • Посещение

Весь контент eugen_pcad_ru


  1. У всех КИХ линейная ФЧХ. Да, Ваша идея противоречит теории фильтрации. Используйте БПФ-фильтрацию.
  2. Всем доброго времени суток! Вопрос к специалистам по прошивке Хilinх. Имеется схема, в котрой JТАG реализуется на микроконтроллере МК. Далее установлена цепочка {FPGА, ПЗУ1, ПЗУ2}. Файл прошивки хранится на удаленном компьютере, который имеет канал связи TСР/IР с микроконтроллером. То есть схема приблизительно следующая: ПЭВМ(TCP/IP) -> MK(JTАG) -> FPGА -> PRОМ1 -> PRОМ2 -> bak to MK -> ПЭВМ. Задача: прошивать без использования iMРАСT. Как подступиться к этой задаче пока не представляю. Б0льшая часть реализаций из инета предполагает наличие файла прошивки в составе МК. Поиск про JТАG выдает ссылки на периферийное сканирование, но я так понимаю это не совсем то, что нужно. Что читать? Куда смотреть? Заранее спасибо всем ответившим! P.S.: Имеются файлы: bin, bit, mcs1, mcs2. P.P.S.: Не уверен что с этой темой надо сюда. Не возражаю против переноса в другой подходящий раздел.
  3. Когда-то давно встречал реализации компенсаторов эхо для процессоров от Anаlоg Dеviсe. Там что-то и про теорию было, точнее сказать не могу: давно было:)
  4. А звуковая карточка не подойдет?Создаете файл с требуемым сигналом и циклите воспроизведение.
  5. В ПЭ3 не заносят. Обозначайте цифрами со сквозной нумерацией, проще будет прозванивать, настраивать и т.п. Иногда рядом с точкой удобно ставить маркировку (например, "+5V"). P.S.: В САПРе можно сделать компонент с чисто цифровым обозначением, ставите его и никогда не запутаетесь.
  6. Всем доброго времени суток! Вопрос к гуру Хilinх. Разработал плату, в котрой разъем JTAG подключается непосредственно к FPGA, а выход TDO поступает на TDI внешней ПЗУ. В связи с нехваткой объема ПЗУ поставил еще одну. То есть схема приблизительно следующая: JTАG -> FPGА -> PRОМ1 -> PRОМ2 -> bak to JTАG . Терзают смутные сомнения: Работать то будет такая схема? Или надо было только так: JTАG -> PRОM1 -> PRОM2 -> FPGА -> bak to JTАG ? Всем спасибо! P.S.: Плата уже в производстве, корректировку провести не успеваю:(
  7. Таблица перекодировок?
  8. 2Flood: По поводу xsvf player-а: это насколько я знаю из состава xapp058. К сожалению не смог обнаружить исходников для playxsvf501.exe. У Вас случаем таких нет?;) P.S.: В составе xapp058 есть xapp058_v5.01\src, но они дают разные результаты с playxsvf501.exe. Особо не разбирался, но пришел к выводу, что они разные. Можете что-нибудь посоветовать по данному поводу?
  9. 1 присутствие в проекте одновременно и VHDL блоков и Verilog возможно? Да, любой САПР в настоящее время поддерживает оба формата 2 Смогу ли я моделировать одновременно такие блоки? Есть ISIM, я так понимаю это урезанный ModelSim, смогу ли я на нем помоделировать? Да, можете использовать ISIM или ModelSim. 3 Возможно ли будет проводить оптимизацию такого проекта? Да, ответ см. в п.1 4 Может я вообще что то мега сложное затеял и кто то видит более простой путь? Изучите VHDL. Знание двух языков еще никому не повредило. Пишите/сопровождайте на том языке, на котором Вам удобнее. Есть правда программы трансляции, но встает задача оптимизации кода, корректности преобразования и т.д.
  10. Дело не в моих личных предпочтениях. Наиболее мощные инструменты для анализа и обработки сигналов в настоящее время реализованы в звуковых редакторах. Вы свой реализованный алгоритм сравнивать с уже существующими собираетесь или нет? Вы же используете не просто текстовый формат, а специальным образом сформированный. Сделал я txt размером 600 Мб с отсчетами сигнала. И после этого Вы предлагаете мне его доработать ручками до Вашего формата. То есть Вы предлагаете не ПОЛЬЗОВАТЬСЯ Вашей программой, а РАЗРАБОТАТЬ соответстсвующую утилиту для представления данных в формат Вашей программы? P.S.: Не хотите возиться с wav, могу переслать Вам сгенерированный текстовый файл, Вы мне скриншот результата из своей программы, а я Вам из звукового редактора. Подходит?
  11. Открывается и пускает. Всё нормально. Браузер свежий?
  12. Спектральный анализ стохастических рядов при чтении файла в текстовом формате?.. А можно доработать программу до работы с wav-файлами? И померяться силами с обработчиками звуковых редакторов? P.S.: А то генерировать гигабайтные файлы не очень хочется.
  13. А при наличии шумов пробовали смотреть? "Чистый" сигнал - это зачастую роскошь:)
  14. Может быть я чего то не понял, но алгоритм Герцеля вроде бы не дает информации о фазе. Я не прав? Не проще ли реализовать фильтр Гильберта для обоих сигналов и вычислить разницу фаз? А для оценки амплитуд FFT? Информация о сигналах есть? Это синусоиды?
  15. Кто производитель? описание есть?
  16. 2Игорь_M: Скажите пожалуйста, а компьютер входит в состав системы? Если да, тогда следующий вопрос: программное обеспечение входит в состав компьютера, входящего в систему? Если да, то Вы проводите измерения модулем, входящим в систему в числе прочих.
  17. Нашел ГОСТ 8.596-2010 Государственная система обеспечения единства измерений. ЯМР-анализаторы масличности и влажности сельскохозяйственных материалов. Методика поверки Указанного Вами ГОСТ 8.596-2002 не обнаружил. Можете поделиться? Спасибо! P.S.: Уважаемый Палыч допустил опечатку, теперь руководствуемся ГОСТ Р 8.596-2002
  18. Наименование ближе наверное к информационно-измерительным системам. Для выбора обозначения (децим. хар-ки) читайте алфавитно-предметный указатель классификатора ЕСКД. P.S.: Это только мое личное мнение:)
  19. entity add_my is port ( A : in std_logic_vector (31 downto 0); B : in std_logic_vector (31 downto 0); Y : out std_logic_vector (31 downto 0) ); end add_my; architecture rtl of add_my is begin process( A, B ) begin Y <= A + B ; end process; end rtl; вроде работает (без тактов). Но можно и тактовую добавить.
  20. Речь идет о разрешающей спообности? Думаю для цифрового сигнала увеличить разрешающую способность можно только увеличением тактовой частоты дискретизации.
  21. Мощность какая? Можете еще посмотреть Aimtec, Vicor и т.п. P.S.: Ищите DC/DC преобразователь
×
×
  • Создать...