Перейти к содержанию
    

dlsh

Свой
  • Постов

    332
  • Зарегистрирован

  • Посещение

Весь контент dlsh


  1. В принципе вроде можно сделать этот файл и самому тока не понятно какие сорсы включать в проект модел сима. Может кто даст файл мпф для какого нить стандартного проекта и примеров NIOS IDE? [email protected] Спасибо.
  2. Аналогичная проблема была у freezy и описана здесь http://www.alteraforum.com/forum/showthread.php?t=21086 Решил он её переустановкой всей системы. Может у когото еще была аналогичная проблема и она решается не так сложно. Путь до моделсима и галка симуляции в SOPC стоит. все что описано в AN351 сделал, но он все равно не генерит mpf файл (остальные файлы есть). Попробовыал на тестовом проекте <Nios II EDS install directory>/examples/<vhdl or verilog>/ niosII_stratixII_2s60/standard там история такаяже mpf файл отсутствует.
  3. Все же не совсем понятно. Сделал попытку присвоение через .... assign timer_1ms.fStart = 1'b0; initial begin clk = 1; ... При запувске симуляции он пропускает, если я перепишу в виде .... initial begin clk = 1; timer_1ms.fStart = 1'b0; ... То выдает ошибку, ну и если заменить wire на logic то вообще ни какой ошибки нет. Что непонятно чтож ModelSim тогда компилирует даже без предупреждений, а при симулировании выдает критическую ошибку. Спасибо за подсказку.
  4. Вопрос возник в том что есть готовый модуль в квартусе компилится нормально. Компилируется в моделсим тоже успешно При попытке симуляции возникает ошибка vsim work.timers_testbench # vsim work.timers_testbench # Loading sv_std.std # Loading work.timers_testbench # Loading work.intf_timer # Loading work.timers # ** Error: (vsim-8220) E:/My_Documents/Projects/Programms/Avrora_09/DevInterf/Cyclon_3/modelsim/work_prj/timers_testbench.sv(15): This or another usage of 'timer_1ms.fStart' inconsistent with 'net' object. # Region: /timers_testbench # ** Error: (vsim-8220) E:/My_Documents/Projects/Programms/Avrora_09/DevInterf/Cyclon_3/src/timers/timers.sv(36): This or another usage of 'timer.fTimeOut' inconsistent with 'net' object. # Region: /timers_testbench/timers_1ms_inst # Error loading design Сам модуль текст `timescale 1ns / 100ps interface intf_timer; wire fStart, fTimeOut; endinterface module timers // -- Paramter #(parameter FREQ = 25000000, parameter DELAY = FREQ) // -- Interface ( input wire clk, reset_n, interface timer ); const int unsigned CHK_TIMER = (FREQ / DELAY); enum {Md_IDLE, Md_CNT} Mode, NextMode; int unsigned cnt; always_comb begin case(Mode) Md_IDLE: begin NextMode = Md_CNT; end /* Md_CNT: begin if(timer.fTimeOut && !timer.fStart) NextMode = Md_IDLE; end*/ default: NextMode = Md_IDLE; endcase end always_ff @(posedge clk) begin case(Mode) Md_IDLE: begin timer.fTimeOut = 0; cnt = 0; end Md_CNT: begin if(cnt < CHK_TIMER) cnt++; else timer.fTimeOut = 1; end endcase end always_ff @(posedge clk) begin if(!reset_n) begin Mode = Md_IDLE; end else begin Mode = NextMode; end end endmodule Текст тест бенча `timescale 1ns / 100ps module timers_testbench( ); intf_timer timer_1ms(); reg clk, reset_n; reg fStart, fTimeOut; timers #(25000000, 25000) timers_1ms_inst(clk, reset_n, timer_1ms); always begin timer_1ms.fStart = fStart; fTimeOut = timer_1ms.fTimeOut; end // -- Generator 25 MHz always #40 clk = ~clk; initial begin clk = 1; reset_n = 0; fStart = 0; #20 reset_n = 1; #50 fStart = 1; end always @(reset_n) #1 $display($time, "timer_1ms.fStart=%b, timer_1ms.fTimeOut=%b, ", fStart, fTimeOut); endmodule Что интересно если закоментиую назначения сигналов интерфейсу то ошибка пропадет для того сигнала который закоментирован. Объясните как симулировать работу с интерфейсом.
  5. В общем здесь что то есть не доконца понятного. Да действительно после Auto Assign Base Address проект собрался память я поставил 16к. Я его загрузи в плис. Теперь что интересно после перекомпиляции в эклипсе я пытаюсь его запустить и проект зависает не выходит в майн, хотя загрузка и верификация проходит успешно. Как только меняешь размер на 4к проект запускается выходит в маин и работает как положено на раз.
  6. Да вы совершенно правы Auto Assign Base Address все исправило. спасибо
  7. Сам удевляюсь вот пример когда я делаю не 4к а например 5к памяти и он выдает ошибку
  8. Да с этип проектом убрался и запустился. Прям скажем не ожидал что такая опереция занимает стока места. А вот такой еще вопрос. Можно ли указать компилятору чтобы секчию .text размещать можно в нескольких регионах. Проблема вся в том что SOPC может выделить одному региону тока 4к памяти (хотя на боруту чуть ли не все 50к памяти имеется), вот и приходится ухищряться как можно разместить программу так чтоб она убралась. Может конечно эта проблема решатеся как то элегантней, пока не знаю. Заранее спаисбо.
  9. Да я тоже думал что это оно . Мтранно вообще работает . Например добавляешь еще один блок в SOPC делаешь Generate BSP а добавленного блока как небывало. вообщем как то запутано И еще вопрос. Подключил две он чип памяти по 4к каждая. Первую отвел под bss. а вторую под .text. Исходный текст программы представлет из себы один цикл int i; int main(){ while(1){ i++; } return 0; } Так вот при попытке компиляции выдается следующая ошибка make: *** [DevInt.elf] Error 1 region onchip_memory2_1 is full (DevInt.elf section .text). Region needs to be 1076 bytes larger. Что-то заняло всю доступную память и засело в ней. В чем может быть ошибка. Настройки DevInd_bsp и SOPCприкрепил
  10. При изменение конфигурации системы в квартусе 9.1 каким образом можно изменить уже созданный BSP в Nios 2 EDS чтобы он соответствовл новой версии системы?
  11. Здравствуйте. Вопрос в общем вот в чем. Есть несколько преобразователей разных фирм (Александр, ВМП). Подается напряжение 1,5 кВ между корпусом и входом. И вот какие случае. 1. Подаем 1,5 кВ сразу на оба входа. Прибор держит 1 минуту не прошивает. 2. Подаем 1,5 кВ на первый вход (условно), второй в воздухе прибор держит 1 минуту. 3. Подаем на второй вход, первый в воздухе прибор начинает прошивать на 1-1,2 кВ. При закорачивание входов резстором в 1 кОм и подаче напряжение на второй вход прибор держит 1,5 кВ. При закорачивание сопротивлением в 150 кОм прибор начинает прошивать. Кто нибудь может объяснить данный эффект? С чем вообще может быть связано такое расхождение? Дмитрий.
  12. Здравствуйте. Укажите пожайлуста цену и срок изготовления ниже приведенных образцрв взятых с вашего сайта. Количество 1 шт. http://metallist.yar.ru/template/tt2/navik...nt/fg_ex_02.jpg http://metallist.yar.ru/template/tt2/navik...a_ex_3_icon.jpg С уважением Дмитрий. г. Нижний Новнород
  13. Достойный магазин. Достаточно неплохой выбор и совпадения наличие отображаемого товара с реальным наличием практически один в один. Один раз был казус приобретением товара в самом магазине, связано с резервированием и доставкой со склада в магазин. Вместо обещеного дня его не могли доставить два дня, но думаю это некое исключение нежели чеи правило. В общем респект.
  14. Кто встречал упоминание о таких разъемах?
  15. С преобразователем 12В->3.3кВ это понятно. А вот "усилитель (умножитель) сигнала" немного не понятно. По всему раньше речь шла о ключе на транзисторах, работающих в ключевом режиме. Если и сейчас под "усилитель (умножитель) сигнала" подразумевается ключ, то как мне представляется основная сложность это обеспечить импульс в 0.5 мкс особенно если частота берется 1 МГц. В силу того что возникнут некоторые сложности с закрытием ключей. Хотя если требования к закрытию ключей не предъевлять (частота например 100 кГц при импульсе в управления 0,5 мкс) то сложностей нет, но импульс напряжения на нагрузке будет конечно не 0,5 мкс. БП 12->3.3кВ по стандартной схеме ШИМ контроллер + полный мост + транс + выпрямитель. Две обратные свя по току и напряжению через оптроны (благо сейчас можно найти с развязкой на 6 кВ и выше). В общем это изделие по стандартной, обкатаной схеме.
  16. Можно пообщаться (запрос на авторизацию в аське послал). Укажите максимальный ток(мощность) на ВВ стороне. Если мощность не большая (несколько ват) то можно применить стандартные преобразователи 12В->4кВ. Немного посложнее последовательный коммутатор на транзисторах, но тоже можно.
  17. Предлагаю сделать на любых из следующего списка http://www.polovodice.cz/ru/products.php/8 Ток у них в импульсе до 12 кА. Вас устроит за глаза. Кроме того есть росийские что то типа ТЧИ100, ТБ161 и т.д. стоимость порядка 3 т.р - 6т.р. В общем параметры 100 А и 200 В (время порядка 10-15 мкс) то что вы запросили без проблем, хотя сложности есть. Можно подумать и про IGBT. Надо посмотреть способ/метод зарядки конд-х батарей. Желание продолжать реализацию вашей задумки сохранилось ?
  18. Применяли модули от "Оптроник", нареканий нет. По одному кабелю передача ведется в обоих направлениях (полный дуплекс по одному проводу). Вход/выход применяли LPECL. http://www.fti-optronic.com/bi.htm
  19. Модули отправил, извиняюсь модули ко мне пришили от sda.
  20. Ну вот, а мы его заложили в проект. Отпишитесь как заработает стек TCP/IP, и вообще как себе кристал.
  21. Запускали на DS92LV1212/DS92/1021 (если не ошибаюсь) и на Cyclone 2 запускали Ser/Des модуль который дал SM, за что ему огромный респект. Рааботают и тот и другой на 25 МГц 8 бит как бы без вопросов. Единственно что запускать в циклоне удобней с точки зрения трасировки платы. Ведь все одно к аппаратным Ser/Des необходимо цеплять ПЛИС. По потреблению не скажу, но ведь это не трудно прикинуть из данных на алтеровские чипы и аппаратные. Если нужно вышлю эти модули для изучения или внедрения.
×
×
  • Создать...