Перейти к содержанию
    

Vadim

Свой
  • Постов

    1 227
  • Зарегистрирован

  • Посещение

Весь контент Vadim


  1. Ну ведь выше писали :) ~/.bash_profile или ~/.bashrc
  2. Скорее всего, лучше. На лицензию ведь не ругается :) Попробуйте совет от SM
  3. ??? Никогда не пользовался сетевыми лицензиями. Не знаю, извините. ЗЫ. Installation and Licensing Guide читали? Я серьезно спрашиваю, без подколки.
  4. 1) ОК 2) OK 3) Проверьте права на выполнение mgls_asynch. 4) Отстаньте от вайна :) У вас уже к этому моменту должна быть валидная лицензия, прописанная в LM_LICENSE_FILE или в MGLS_LICENCE_FILE. Но, судя по тому, что Modelsim запускается, она у Вас есть. Проверьте: lmutil lmdiag Подробнее прочитайте в инструкции по установке. 5)Не запускал, не было нужды. ЗЫ. У Вас лицензия сетевая или "нормальная"?
  5. Конечно. Но только линуксовая версия :) EE из-под рута ставили? Права на выполнение mgls_asynch дали? ЗЫ Ставил на Centos 5.4 и Fedora 11. Полет нормальный, только в XFCE работать невозможно - какой-то бред с окнами творится. На OpenSuse не ставил.
  6. Точно сейчас не скажу, но скорее всего да, не существенно. Проверьте : echo $MGLS_LICENCE_FILE Вообще-то имеет смысл использовать только одну переменную, насколько я знаю, теперь рекомендуется именно вторая. И в чем он выразился? Ну вообще-то разговоры про генерацию какой-то-там лицензии мне совершенно не понятны, ибо противоречат правилам данного раздела форума :) И то, что в вайне какая-то программа не запустилась, не удивительно - лично мне не удалось в нем запустить ни одной нужной программы. Т.е Modelsim запускается, а Dx - нет? Вайн сам по себе является проблемой, а уж запустить Dx он точно не поможет :)
  7. Это вопрос? :) Если имеете валидную лицензию, в ~/.bash_profile добавляете стоку export MGLS_LICENSE_FILE=/path/to/license/file После этого нужно перезапустить иксы. Где-то так.
  8. vugluskr, судя по всему, Вам таки удалось добиться своего и развязать холиварчик несмотря на миролюбивые заявления о нежелании вступать в полемику. Совсем необязательно было повторно мне отвечать и приводить устаревшие цитаты. Я на это не ведусь :)
  9. Это понятно, был я там. А вот туда не заглядывал, и только теперь там нашел ответ на свой вопрос. Посыпаю голову пеплом. Большое спасибо!!!
  10. Ага, это понятно, спасибо. А это странно. Не замечал, что раньше графика подтормаживала. Правда, уже давно в PADS не работаю, может во время моего отсутствия менторы пытались решить проблему современных быстрых компьютеров, но к этому релизу одумались? :) А ведь не такие уж нелепые слухи о сознательном торможении ходили именно про авторазводчик, про весь остальной PADS таких разговоров не припоминаю.
  11. Уточните, пожалуйста - авторазводчик в Router стал работать быстрее? Я правильно понял? И как это понимать - лайоут стал работать быстрее? Раньше он что, работал медленно? Спасибо.
  12. Если не затруднит, подскажите стандарт и страницы, где описаны массивы интерфейсов и модпорты впридачу. Может, туплю, но я вообще не могу найти в стандарте даже просто про массивы интерфейсов. Спасибо.
  13. А чего так? Точно с перспективой роста? Или с перспективой разговора о перспективах?
  14. Что, все сразу??? У меня это бывает только в одном случае - если эти самые Cell Editor, PadStack Editor, PartsManager уже запущены.
  15. Есть определенные трудности при использовании интерфейсов, поэтому, в случае, если их использование затруднено или не оправдано, для решения сложнейшей :) проблемы №2 топикстартер может воспользоваться implicit .* port connections, покурив предварительно IEEE 1800-2005 стр 342 - 343.
  16. Очень радостное событие :) Наконец-то все-все-все свели в один талмуд. У меня с английским туговато, и я много чего напереводил по 2007 с помощью специально заточенного Промта. Как правило, получались вполне понятные куски. Предлагаю начать народный проект по переводу последнего стандарта. Если найдутся хоть человек пять желающих, тогда я тоже причешу и выложу свои уже переведенные куски.
  17. Ну если Вы не прикалываетесь, то все очень просто - при работе с ПЛИС приходится много писать, так почему бы слегка не автоматизировать этот процесс? На помощь могут прийти скриптовые языки, подобные Python.
  18. Это хорошо, что Вы наконец-то указали город. Теперь все, читающие этот топик, будут знать, что вакансия предлагается в городе "конечно Москва". И люди из городов, таких, например, как, "конечно Нижний Тагил" или "конечно Урюпинск" не будут зря волноваться, задавать лишние вопросы, отнимая тем самым Ваше драгоценное время.
  19. Простите, я был невнимателен. При чтении Вашей фразы пропустил предлог "не". Каюсь :crying:
  20. Признаюсь, я не знаток гостов, но очень удивлен, что гост требует передвигать имена пинов. Не могли бы Вы пояснить, откуда и куда нужно передвинуть имя пина по госту? Если разработчик платы и схемы - один человек, и он не иодный дизайнер, такой подход ничем не чреват.
  21. Есть еще вариант - в наличии имеется древнючее собственное производство, где, например, просверлить можно только по сетке 2.5мм. Впрочем, об этом уже написал HardJoker. И жаба душит заплатить нормальным производителям ПП. Во всех остальных случаях налицо факт вредительства и таких деятелей следует принародно расстреливать у парадного входа учреждение. Имхо, конечно :)
  22. Хороший способ. Иодным дизайнерам, правда, не подойдет - некуда мышкой тырцнуть Не понятно только, почему именно Perl? Я вот, к примеру, предпочитаю Emacs Lisp. И макросы используемого текстового редактора пока еще никто не отменял.
  23. // off_tope_mode on И это здОрово!!! Нет лучшей награды для разработчика, чем разговор с начальством о перспективах! // off_tope_mode off
  24. Скорее всего - удаление ~/.mgc и ~/wdir помогло. Скоро и мне предстоит поставить EE2007.7 на CentOS 5.4 x86_64 :) Придется изучать DxD :crying:
×
×
  • Создать...