Перейти к содержанию
    

Какой HDL язык популярнее? И есть ли смысл учить несколько HDL языков, достаточно ли владеть одним в совершенстве?

 

И за каким языком будущее?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какой HDL язык популярнее? И есть ли смысл учить несколько HDL языков, достаточно ли владеть одним в совершенстве?

И за каким языком будущее?

Тема уже не раз обсуждалось. Личное мнение - достаточно знать один язык в совершенстве и в другом знать основные конструкции. Большинство синтезаторов поддерживает смешанный синтез языков. Огромный плюс для verilog - схожий с ним SV, у которого гораздо больше возможностей для верификации нежели у VHDL.

Обратите внимание на старый опрос http://electronix.ru/forum/index.php?showtopic=65

Тенденции за последние годы - сдвиг в сторону верилога или систем верилога и отмирание Ahdl. Предлагаю создать новый опрос :)

Изменено пользователем bogaev_roman

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какой HDL язык популярнее? И есть ли смысл учить несколько HDL языков, достаточно ли владеть одним в совершенстве?

 

И за каким языком будущее?

Выбор языка диктуется работодателем или ВУЗом. В институте у меня был VHDL. Пришел на работу - сказали учить Verilog. Знаешь любой из этих языков - перейти на другой не проблема.

Если у тебя полная свобода действий, то рекомендую Verilog.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Either is good.

In the ASIC world, Verilog dominates. This does not mean it's better, but it's used more often.

In the FPGA world, I'd say that VHDL has an advantage in usage.

Verilog is "closer" to gates, while the different and strict types in VHDL allow for convenient design.

 

I'd say that VHDL offers more by strict types, and the possibility to make complex record types, which makes a design much more readable/debuggable. The question is does one need these capabilities.

 

I have noticed that the Xilinx Verilog libraries compile much faster than the VHDL ones.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как говорил мой бывший руководитель :"Если ты знаешь один язык программирования, то ты их знаешь все!". Пока пишу на VHDL, но думаю переходить на SV. Уж больно его тут рекламируют)), хотя общей потребности в этом нет, так для развития...

А опрос было бы действительно интересно устроить. Посмотреть как изменилась тенденция за эти годы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Работал долго с VHDL, теперь приходится выёживаться на SystemVerilog. То ещё убожество. Потуги стать похожим на VHDL есть, а толку мало. В ModelSim открыли case полтора месяца назад по поводу кривой реализации package, а воз и ныне там.

Как заводил за тебя Verilog необъявленные сигналы, так и SystemVerilog это делает. Вход со входом зацепить - тоже без проблем в языке, но зато с проблемами в железе.

 

PS: Пока увидел только одно преимущество Verilog+SystemVerilog по сравнению с VHDL - возможность в симуляции с верхних уровней иерархии драйвить сигналы внутри модулей без вытаскивания их наверх через все интерфейсы (force/release).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

"Если ты знаешь один язык программирования, то ты их знаешь все

 

А никто и не спорит, что теперь в вузах готовят только программистов.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А никто и не спорит, что теперь в вузах готовят только программистов.

 

Их тоже не готовят.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По моему товарищ из Сербии прав на все 100. VHDL не такой модный, как Verilog, ну так нам не на подиуме ходить.

VHDL позволяет создавать более надежные конструкции меньшими усилиями. На Verilog нужно постоянно следить за тем что пишешь, там больше свободы, но и больше возможностей закосячить ляп, а ведь потом его придется долго отлавливать. И как это сделать в сложном дизайне? Иногда очень и очень трудно.

Ну конеш, тут же все гуру, руки из платины от рождения. Я понимаю :) Им пофиг, они на Veriloge "ваще пишем без ашипок".

Но если подойти к делу без выпендрежа, мое мнение, есть возможность писать на VHDL, лучше делать дизайн на нем, будет и надежно, и красиво, и половина ошибок сама вылезет.

Verilog рассматриваю как неизбежность.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну конеш, тут же все гуру, руки из платины от рождения. Я понимаю :) Им пофиг, они на Veriloge "ваще пишем без ашипок".

 

Говорить об ошибках при описании на том или ином языке - значит не понимать, какие клавиши нажимать. Что на верилоге, что на VHDL.

Верилог гораздо проще для освоения с его то практически двумя переменными для описания. Довольствуясь минимальным уровнем абстракции.

(Что видишь, то и поешь)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По моему товарищ из Сербии прав на все 100. VHDL не такой модный, как Verilog, ну так нам не на подиуме ходить.

VHDL позволяет создавать более надежные конструкции меньшими усилиями...

+500 когда выбирал, мне конструкции VHDL больше понравились.

И сейчас после нескольких лет кодинга ПЛИС Xilinx понимаю, что не ошибся, несмотря на распиаренность Veriloga (типа изучайте V, чтобы потом легче было на SV переходить).

 

Если КАКТУС c Моделсимом SV ещё как-то поддерживает, то в ИСЕ и XST его нету. Ставить ломаный Симплифай и разбираться с его фичами и системой констреинтов, желания нет. Также нет желания переплачивать за Стратихсы4, которые дороже Virtex6 в два раза при одинаковой ёмкости.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

конструкции VHDL

 

Тогда расскажите, в чем кайф использования библиотек при описании

ct <= ct + 1;

И какие ошибки Вас смущают без строгой типизации.

Особливо мне нравиться гордое высказывание типа - сижу, курю библиотеку. (И это для синтеза)

Пардон, ребята, а работать то когда начнете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...