Перейти к содержанию
    

По SV впечатления самые положительные. По сравнению с 2008 - небо и земля. Есть косяки, Денис об этом уже говорил, но я их не ощущал, не настолько крут :)

Однако макросы, написанные мной и прекрасно работавшие в 2008, в 2009 работают неправильно. Следствие показало, что причина в разном результате выполнения Slick-C функций в этих двух версиях. А это уже не смешно. Совершенно не улыбается, подсев на некую прогу(даже такую замечательную, как Slick), в один прекрасный день обнаружить вместо удобной и отлаженной под свои нужды среды разработки разбитое корыто. Окончательно взбесил Slick под линукс - там вообще нечто невнятное, постоянные падения и зависоны. Но что интересно, макросы там работают правильно.

Перехожу на emacs.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть косяки, Денис об этом уже говорил, но я их не ощущал, не настолько крут :)

упс, сорри, не заметил - в отпуске в это время был.

в остальном спб за описание

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

здесь говорят, что SlickEdit 2009 здорово продвинулся с SV http://svug.org/Forum/tabid/57/forumid/33/...ic/Default.aspx

особенно приятно, что они быстрые переходы к объявлениям реализовали. есть кто-нибудь попробовавший? впечатления?

Да, переходы к объявлениям работают, что есть очень хорошо. И прочий Context Tagging - например, после имени структуры набираешь ".", появляется всплывающее окошко с именами членов структуры. В общем, все как в том же С++, где все это давно работает. Интерфейсы, правда, не понимает в этом смысле. Работать стало комфортнее. И раскраску синтаксиса сделали - как новогодняя елка (но это можно отключить). :)

 

Однако макросы, написанные мной и прекрасно работавшие в 2008, в 2009 работают неправильно. Следствие показало, что причина в разном результате выполнения Slick-C функций в этих двух версиях. А это уже не смешно. Совершенно не улыбается, подсев на некую прогу(даже такую замечательную, как Slick), в один прекрасный день обнаружить вместо удобной и отлаженной под свои нужды среды разработки разбитое корыто.

Хм, я такого не обнаружил. Моих пара скромных макросов работает без замечаний.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.

Кстати, поставил сейчас ConTEXT - а что там за функции пользователя (F9-F12)?

И вопрос по Notepad++ - можно ли там комментирование блока на хоткей поставить?

TextPad хорошо с этим справляется + у него есть подсветки для всех возможный языков...

 

Очень давно им пользуюсь в том числе и для Verilogа....

 

А еще есть такая класная штука Verilog-mode http://www.verilog.com/verilog-mode.html

 

В принципе это для емакса... но емакс я не перевариваю, по этому пользуюсь этой штукой из коммандной строки.

 

Очень удобно. Если кому интересно могу подкинуть готовый скрипт для линукса.

 

С этой штукой интеграция топ левел делается за 5 мин. Очень советую попробовать.

Обычно тот кто один раз подсел больше слезть не может :rolleyes:

Очень сильно время экономит....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В принципе это для емакса... но емакс я не перевариваю, по этому пользуюсь этой штукой из коммандной строки.

 

Очень удобно. Если кому интересно могу подкинуть готовый скрипт для линукса.

 

Подкиньте, пожалуйста.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Работать стало комфортнее.

 

да работать намного удобнее, но есть еще куда работать. Теги иногда слетают, порты модулей при инстансе берутся от других модулей, не всегда парсятся сигналы и порты, нет beautify и т.д. Но по сравнению с 2008 сликом прогресс существенно пошел вперед :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подкиньте, пожалуйста.

 

Подкидываю :biggrin:

 

В файле небольшой скрипт написанный на Bash (Linux). (Расширение txt надо убрать и сделать executable)

 

В качестве параметров ему надо дать либо имя файла либо имя директории.

 

Ну естесвенно нужно установить verilog-mode как рассказано на сайте.

 

У меня он стоит в /shared_01/home/michael... Вам нужно будет поменять ето на свой путь...

 

Будут вопросы - спрашивайте....

ra.txt

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ArMouReR, завидую, что Вам удалось подружиться с verilog-mode. В отлчие от Вас мне нравится emacs и по мере его изучения все больше и больше, а вот в verilog-mode так и не въехал. Какой-то он сам себе на уме, самостоятельно какие-то выравнивания непонятные делает. Попытки настроить его под свои предпочтения результатов пока не дали. Но я не отчаиваюсь, на днях предприму третью решительную попытку :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Vadim,

А вы не заморачивайтесь с его выравниванием и т.д.

Отключите все ети опции в Emacs... Просто используйте его AUTOS....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Отключите все ети опции в Emacs...

Вот-вот, все, что нашел, отключил. Все равно умничает :crying:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Доработал настройки CaPpuCcino для SV под SciTE.

+:

Для SV поддерживается раскраска, отступы, все ключевые слова.

Прописаны команды на симуляцию (нужные bat-ники с вызовами vlog / vsim / что нужно, предлагается писать под себя самостоятельно).

Полный список изменений - в readme.txt

-:

ModelSim выводит ошибки в формате, не поддерживаемом SciTE - на дабл-клик не реагирует. Решения - либо переформатировать вывод, либо подкручивать скрипты (а может, и ядро SciTE).

Файл аббревиатур, как и скрипты симуляции, активно дорабатываю в процессе.

P. S. Посмотрел ещё Слик (SlickEdit) и Editra - подтверждаю сказанное про них в этой ветке выше. У Editra - сообщества, особенно русскоязычного, вокруг неё пока почти нет. Vi / Emacs пока не пробовал :)

Итого ИМХО - если нужен альтернативный редактор SV с обязательной лицензионностью (и на него нет $639), или просто привычен unix-way с его клавиатурностью и тотально текстовыми настройками - SciTE; иначе - SlickEdit. На Editr'у пока буду смотреть - кстати, она кроссплатформенная, как и оба вышеупомянутых.

4SciTE.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну, ловите тогда и от меня небольшой подгон для SciTe:

наконец-то нашёл как настроить кнопки "удобного" поиска (функция быстрого перехода на следующее и предыдущее вхождение выделенного слова почему-то не включена ни в один релиз и особо не афишируется). именно её я и добавил в панель toolbar редактора + подсветка всех вхождений выделеного слова и отмена подсветки.

итак кнопки поиска сгруппированы след. образом //вызов диалога поиска/переход на следующее вхождение выделеного/переход на предыдущее вхождение выделеного/диалог замены//подсветить все вхождения выделенного/отменить все подсветки выделенного//

настройки панели инструментов находятся в подкаталоге ../toolbar/ (при этом в разделе ToolBar файла SciTeGlobal.properties объект user.toolbar.* можно просто удалить, т.к. пользовательский toolbar будет импортироваться из "import home\toolbar_cool.properties")

файл для примера приведён ниже

 

ModelSim выводит ошибки в формате, не поддерживаемом SciTE - на дабл-клик не реагирует. Решения - либо переформатировать вывод, либо подкручивать скрипты (а может, и ядро SciTE).

а вы не могли бы сказать что у вас в файле D:\p\dev\Ver3\srcs\Sim_Cmds\sim_gen.cmd нарисовано?

toolbar_cool.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

было большое желание индексировать все переменные и процедуры, но после 3 часов разбора полётов оказалось, что стандартная компоновка встроенного интерпретатора Lua не позволяет работать со сколько-нибудь сложными регулярными выражениями и что-нибудь действительно полезного в этом направлении с таким инструментарием добиться трудно

сейчас обнаружил одну очень важную вещь в отношении этого пункта: (не знаю с какого релиза, но) к SciTe прикрутили, реализацию PEG(Parsing expression grammar) на Lua (lpeg), а это очень серьёзная вещь и все претензии в отношении регулярных выражений теперь к языку Lua снимаются (попробую в ближайшее время покурить заново индексирование переменных)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

подсветить все вхождения выделенного/отменить все подсветки выделенного//

Кнопка "Highhight identical text" не работает, похоже, соответствующего скрипта в текущей версии уже вообще нет. Кстати, а какая у Вас версия?

файл для примера приведён ниже

Не заработало в используемой, самой новой из стабильных на текущий момент, версии 1.79.66.

Исправил путь к библиотеке, поменял пару пиктограмм. Видимо, так как команды эти по умолчанию не используются, в dll с новыми значками их решили не рисовать вообще, и на панели были пустые места. Ещё раскоментировал глобальные настройки, файл аббревиатур и добавление в "избранное" - кому не надо, можно вернуть обратно.

а вы не могли бы сказать что у вас в файле D:\p\dev\Ver3\srcs\Sim_Cmds\sim_gen.cmd нарисовано?

Там строки поиска корня текущего проекта. Предполагается, что редактируемый файл лежит в одном из его подкаталогов:

@ECHO off
REM Traversing up to 5 dirs up to find project dir (=containing any *.*ise files):
FOR /L %%i IN (1,1,5) DO (CD ".." & (IF EXIST *.*ise GOTO found))
ECHO Project dir (containing *.*ise) not found on 5 dirs up from current!
GOTO exit
:found
CALL .\user\test\cmd\sim.cmd %1 %2 %3
:exit

А в sim.cmd уже скрипт конкретно для него. Сразу предвижу вопрос "а что там?" :) Там вызовы vlib, vlog и vsim в консольном режиме. В настоящий момент файл активно дорабатывается, содержимое часто меняется (даже формат вызова уже другой, не как в verilog.properties из выложенного мной 27 октября). Если интересно - отпишусь (только тогда в теме про custom-do-файлы в этом же подфоруме), как оно утрясётся.

toolbar_cool.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...