Перейти к содержанию
    

прикольно, что про emacs/xemacs с verilog-mode (verilog.com) никто не написал.

 

последние версии вполне поддерживают sv, например .* в портах может "показать", не записывая в файл

ну а auto_template я думаю еще долго в стандарте не появятся (теоретически можно, наверно, generate-ом заменить, но букаф больше писать)

да и AUTORESET AUTOWIRE AUTOREG я часто использую

 

btw: indent region вполне замечательно работает, так что beautify вроде как не нужен

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И не мудрено. Где-то вычитал, что emacs имеет два режима работы - в первом он бибикает, а во-втором все портит :) Сам я его увидел вживую впервые совсем недавно, при попытке перехода на линукс. Пробовал даже выполнить туториал. Пальцы ломаются и мозги выворачиваются :) Понимаю, что круто, мощно, старо, религиозно и все такое. Но нафига он юзеру, привыкшему к виндовым редакторам с их дружелюбием к начинающим? Ведь при всей его мощи, как ни крути, недостаток у него имеется - невозможно начать работу, не изучив его. Не знаю, сколько это займет времени. Скорее всего, много :) А в упомянутых в топике редакторах можно начать работать в следующую минуту после установки :)

Имхо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И не мудрено. Где-то вычитал, что emacs имеет два режима работы - в первом он бибикает, а во-втором все портит :) Сам я его увидел вживую впервые совсем недавно, при попытке перехода на линукс. Пробовал даже выполнить туториал. Пальцы ломаются и мозги выворачиваются :) Понимаю, что круто, мощно, старо, религиозно и все такое. Но нафига он юзеру, привыкшему к виндовым редакторам с их дружелюбием к начинающим? Ведь при всей его мощи, как ни крути, недостаток у него имеется - невозможно начать работу, не изучив его. Не знаю, сколько это займет времени. Скорее всего, много :) А в упомянутых в топике редакторах можно начать работать в следующую минуту после установки :)

Имхо.

 

да ладно там религиозное мракобесие проявлять, то что на ворд непохоже, то непонятно :)

 

поставить из цигвина http://cygwin.com/ xemacs и текст в нем печатать сможет наверно и секретутка, и знание команд (типа где на клаве meta key) совершенно не нужно - основные фунции (а для VHDL или Verilog моды все) доступны через менюшку

 

там есть возможность что-то настроить под себя, но и видоуз подход - использовать то-что есть - вполне работает

 

большой плюс - что на любой вопрос есть ответ, то есть набив в гугль копи-пастом сообщение ошибки или сформулировав проблему по первой ссылке обычно кусок кода, который ее решает...

 

в дистрибутиве правда старые моды - но скачать новые и поставить их вобщем-то не сложно

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

посмотрел новый слик, блин все равно с косяками разбирает файл, причем если систем верилоговский разбирает еще более менее, то с верилоговским такая засада %(

 

но вообще существенный прогресс налицо %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И Editra подтянулась :) Уже пару дней как обрелизилась. Убрали баги со сворачиванием/разворачиванием окна и запоминанием последнего положения. И это хорошо, ибо раздражало. Пишут, что в линукс убрали баг с обновлением окна codebrowser. Но пока не проверял. Плохо, что по-прежнему не понимает два монитора - упорно разворачивается только на основном :(

ЗЫ Ну а по поводу слика - лучше него может быть только новый релиз слика, что мы и имеем. С нетерпением ждем его появления в известном месте :)

ЗЫЫ едитру подружить с линукс удалось, нужно было, оказывается, поставить волшебную галочку в настройках "Отключить отчет об ошибках". А вот со сликом беда - изумительная под винду прога под линуксом превратилась в какое-то чудовище и вообще отказывается работать. Постоянно ругается на какие-то файлы :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Надо признать, едитра кривовата. Под линуксом сломали диалог настроек, который прекрасно работал в прошлом релизе. Плюс невозможно в диалоге открытия выбрать скрытый файл или папку. Облом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

Раньше использовал Context.

Но проект этот умер... а жаль.

Перешел на Programmer`s Notepad 2

 

И очень доволен.

Вот, например, скрин VHDL с результатом проверки синтаксиса

(используется xst)

 

Если кому-то интересно - могу продолжить...

 

-- Регардов!

post-76-1240943068_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

Раньше использовал Context.

Но проект этот умер... а жаль.

Перешел на Programmer`s Notepad 2

 

И очень доволен.

Вот, например, скрин VHDL с результатом проверки синтаксиса

(используется xst)

 

Если кому-то интересно - могу продолжить...

 

-- Регардов!

 

Интересно. Продолжайте. А то внятной документации на этот редактор нет.

 

Сравниваю функциональность PM с UltraEdit Studio...

Редактор на вид действительно ничего (для бесплатного).

Из достоинств:

- грузится заметно быстрее UES

- мне понравилось как реализован список тэгов, позволяющий в Verilog дизайне отобразить объекты по группам.

- легко затачивается под привычный вид

Из недостатков:

- autocomplete работает только для ключевых слов языка (в UES еще и для уже объявленных объектов)

- не нашел я в нем режим типа ультраедитовского Column Mode (а жаль, очень удобно)

- создал свой Text Clip, поместил в папку с клипами - PN его в упор не видит

- не понятно, как подключить сторонний парсер (но это, вероятно, дело не в редакторе, а в юзере)

- если в Verilog-файле объект имеет атрибут signed, то в списке тэгов вместо имени объекта отображается "signed"

- и еще несколько мелких недочетов (это пока... играюсь с ним первый день)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Интересно. Продолжайте. А то внятной документации на этот редактор нет.

 

Сравниваю функциональность PM с UltraEdit Studio...

Редактор на вид действительно ничего (для бесплатного).

Из достоинств:

- грузится заметно быстрее UES

- мне понравилось как реализован список тэгов, позволяющий в Verilog дизайне отобразить объекты по группам.

- легко затачивается под привычный вид

Из недостатков:

- autocomplete работает только для ключевых слов языка (в UES еще и для уже объявленных объектов)

- не нашел я в нем режим типа ультраедитовского Column Mode (а жаль, очень удобно)

- создал свой Text Clip, поместил в папку с клипами - PN его в упор не видит

- не понятно, как подключить сторонний парсер (но это, вероятно, дело не в редакторе, а в юзере)

- если в Verilog-файле объект имеет атрибут signed, то в списке тэгов вместо имени объекта отображается "signed"

- и еще несколько мелких недочетов (это пока... играюсь с ним первый день)

 

1) По поводу TextClips (ответ разработчика с форума)

 

"There's a small problem with the current text clips system in 2.0.7. We now cache all the clips to improve performance and allow editing for the code templates. Unfortunately we currently miss new clip files as a result. While I haven't worked out the right way to solve this yet, you can delete the cache file to get your clips added:

 

XP: c:\Documents and Settings\[user]\Application Data\Echo Software\PN2\installClipCache.xml

Vista: C:\Users\[user]\AppData\Roaming\Echo Software\PN2"

 

Сами клипы рекомендуют создавать с помощью TextClip Creator

http://www.pnotepad.org/files/textclipcreator.zip

 

2) По поводу стороннего парсера

Настраивается все в Tools - Options - Tools

 

см. скриншот

на нем, кстати уже видно подключенный клип

 

-- Успехов

post-76-1240984607_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1) По поводу TextClips (ответ разработчика с форума)

 

"There's a small problem with the current text clips system in 2.0.7. We now cache all the clips to improve performance and allow editing for the code templates. Unfortunately we currently miss new clip files as a result. While I haven't worked out the right way to solve this yet, you can delete the cache file to get your clips added:

 

XP: c:\Documents and Settings\[user]\Application Data\Echo Software\PN2\installClipCache.xml

Vista: C:\Users\[user]\AppData\Roaming\Echo Software\PN2"

 

Сами клипы рекомендуют создавать с помощью TextClip Creator

http://www.pnotepad.org/files/textclipcreator.zip

 

2) По поводу стороннего парсера

Настраивается все в Tools - Options - Tools

 

см. скриншот

на нем, кстати уже видно подключенный клип

 

-- Успехов

 

Спасибо, оперативно.

 

У меня еще вопрос: можно поинтересоваться содержимым файла syntax_check.scr? А то в запарке читать XST User Guide пока нет времени, а попробовать хочется (хочу заставить UEStudio сделать то же самое).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо, оперативно.

 

У меня еще вопрос: можно поинтересоваться содержимым файла syntax_check.scr? А то в запарке читать XST User Guide пока нет времени, а попробовать хочется (хочу заставить UEStudio сделать то же самое).

 

syntax_check.scr

 

elaborate

-ifn syntax_check.prj

 

syntax_check.prj

 

# Place actual VHDL design file(s)

vhdl work "addr_cnt.vhd"

 

Если в проекте несколько файлов, то они добавляются в PRJ так:

vhdl work "you_file_name_1.vhd"

vhdl work "you_file_name_2.vhd"

vhdl work "you_file_name_N.vhd"

 

Я более простого пути не нашел (переписывался с Xilinx support, они предложили TCL,

но скрипты надо запускать все равно с ISE)

 

Я просто хотел сделать проверку синтаксиса, без запуска ISE

Кстати, таким образом можно и полностью синтезировать проект (с помощью xst).

Описано все это в "XST User Guide" -> "XST Command Line Mode"

 

-- Успехов

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

прикольно, что про emacs/xemacs с verilog-mode (verilog.com) никто не написал.

Я напишу :) Пересаживаюсь на emacs. Не могу никак разобраться с verilog-mode. Он живет своей жизнью, умничает, и не дает работать. Нажимаю tab - игнорирует, enter - форматирует кусок кода и т.д. Я весь FAQ перерыл, сделал в ~/.emacs все, что там советовали по этому поводу. Не помогло.

Как заставить его помогать мне, только когда я попрошу (нажму соответствующее сочетание клавиш), а не постоянно?

:help:

ЗЫ Пробовал vlog-mode, вроде получше, умничает меньше, но не понимает SV. Толком не разобрался с ним, т.к. наступило утро.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 дней назад обновил SciTe до 1.78.64Ru (май 2009) под WinXP. сегодня поймал первый глюк. по визуальным ощущениям программа залезла в видеобуфер не своего окна (не знаю возможно ли такое в защищённом режиме), но зависания не призошло. при выходе данные редактируемых файлов потеряны не были - сработало автоматическое сохранение по закрытию.

из замеченых косяков - пропала возможность закрытия отдельного редактируемого документа на вкладке документа и через "крестик" в углу окна представления. закрытие возможно через контекстное меню в поле представления документа или через главное меню (ассоциированая горячая клавиша работает)

будем посмотреть дальше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще один представитель славного семейства hdl-редакторов - Scriptum:

http://www.hdlworks.com/products/scriptum/index.html

Входит в состав какой-то невнятной и платной среды проектирования.

Бесплатен, есть под windows и linux.

Первое впечатление - категорически не понравилась "плата за бесплатность" - какой-то неубирающийся наглый рекламный мультик.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С нетерпением ждем появления SlickEdit 2009 :)

здесь говорят, что SlickEdit 2009 здорово продвинулся с SV http://svug.org/Forum/tabid/57/forumid/33/...ic/Default.aspx

особенно приятно, что они быстрые переходы к объявлениям реализовали. есть кто-нибудь попробовавший? впечатления?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...