Перейти к содержанию
    

мне особенно понравилась вставка шаблонов - несколько строк в файле .abbrev и экономишь кучу времени на вбивание заклинаний и при использовании многострочных шаблонов код становится более единообразным по стилю :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сделал настройки для SystemVerilog под SciTe:

изменения в глобальном файле настроек SciTeGlobal.properties коснулись подключения нужного хайлайтера и отключения всех ненужных хайлайтеров языков и некоторых настроек самого окна редактора (отключён принудительный перенос, боковая панель изначально неактивна (вкл. кнопкой))

настройка хайлайтера SystemVerilog в локальном файле настроек verilog.properties:

включены все ключевые слова SystemVeriloga (подсветка светло-синим) при этом ключевые слова разделены на основные ключевые слова типа function bit assign и т.д. и ключевые слова модификаторы типа local, static, var, unsigned, unique, private, input и т.д. отличающиеся наклонным шрифтом.

включены все системные функции -ораньжевый цвет, жирный шрифт + подсказка уже написана для парочки функций печати (файл verilog.api; подсказка срабатыает после открытия первой скобки"(" ).

разными цветами также подсвечены "строки"-красный наклонный, операторы - ораньжевый, числа - красный обычный, подсвечиваются парные скобы (){}[]

я использовал стиль выравнивания по принципу новый блок-новая строка (дело моего эст.вкуса)

т.е. вида

function
  if ()
    begin
       ...
       for ()
         a+=b[];
       ...
    end
  else
    begin
       ...
    end
endfunction

в файле verilog.abbrev добавлены как однострочные "for (|;;)"

так и многострочные типа module, function, struct шаблоны (срабатывают по окончании соответствующих ключ.слов и нажатии Ctrl+B )

было большое желание индексировать все переменные и процедуры, но после 3 часов разбора полётов оказалось, что стандартная компоновка встроенного интерпретатора Lua не позволяет работать со сколько-нибудь сложными регулярными выражениями и что-нибудь действительно полезного в этом направлении с таким инструментарием добиться трудно (может быть пересобиру ядро как-нить с дополнением этой функции на Сях, но не ручаюсь)

SciTEGlobal.rar

verilog.rar

verilog.abbrev.rar

verilog.api.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Про слик и sv. Сохраните свои sv-файлы с расширением *.tagdoc, добавьте их в используемый вами тэг, и жить станет легче :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добавил новые кейворды и функции в verilog-колорер Programmer's Notepad.

 

Теперь мой любимый редактор умеет подсвечивать классы, always_ff и прочии SV-добавки.

 

кому надо - sv.scheme в аттаче. Скопируйте его в /schemes и перезапустите PN.

 

Для файловых ассоциаций можно там же сразу поправить /schemes/extmap.dat

 

Digitally yours,

cms

sv.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставил Eclipse IDE, а для SystemVerilog подключил к нему плагин DVT - теперь не нарадуюсь. Жаль плагин триальный на 6 месяцев присылают ключ.

 

Похоже, что это единственная вменяемая IDE для SV на сегодня, жаль что нет лекарства: цены кусаются. :crying:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Похоже, что это единственная вменяемая IDE для SV на сегодня

а что значит "вменяемая IDE"? сейчас многие редакторы могут вызывать другие программы, есть такие которые имеют встроенные скриптовые движки (по крайней мере для свободного ПО тенденция определённо такова, только эти редакторы нужно предварительно соответствующе настроить и будет много счастья).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а что значит "вменяемая IDE"? сейчас многие редакторы могут вызывать другие программы, есть такие которые имеют встроенные скриптовые движки (по крайней мере для свободного ПО тенденция определённо такова, только эти редакторы нужно предварительно соответствующе настроить и будет много счастья).

 

Дело не только в вызове внешних тулзов. IDE -это еще и поддержка шаблонов языка, автокомплит, экстракция и подсветка пользовательских типов данных, функций, классов и автодокументирование, быстрая навигация по коду, поддержка файловой структуры проекта ну и в контексте HDL дерева инстанций, плюс всякие вкусности а-ля IntelliSense как MS VisualStudio.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С нетерпением ждем появления SlickEdit 2009 :)

System Verilog Language Support

SlickEdit now provides language support for System Verilog including:

 

• Color Coding - assign different colors to identifiers, functions, strings, comments, etc. See Color Coding for information.

• List Members - displays a list of members for classes, interfaces, structs, etc. when you type a Dot (dereference character). See List Members

• Parameter Info - displays the prototype for a function when you type the function operator, such as an open parenthesis. See Parameter Information

• Source Code Navigation and Lookup - jump from a symbol to its defintion using Ctrl +Dot (in the CUA emulation). Display a list of references and optionally jump to the first reference using Ctrl +/ In both cases, you can return to the original location using Ctrl +Comma . For more information see Code Navigation.

• Syntax Expansion - saves you typing by expanding block statements like if and for, after you type the initial keyword followed by a space. See Syntax Expansion for more information.

• Syntax Indenting - automatically indents each line as you type according the syntax of your code. For example, lines contained in block structures, like if and for, are indented by the amount you specify in the Options. See Syntax Indent for more information.

http://community.slickedit.com/index.php?topic=4294.msg17628

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Бесплатный достойный внимания текстовый редактор:

http://editra.org/

Приятно удивило, что язык System Verilog в нем имеется по умолчанию и он умеет распознавать функции. Больше ничего не знаю, глубоко не копал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Бесплатный достойный внимания текстовый редактор

приятно порадовала его расширяемость Питоном, у Scintilla-подобных редакторов скриптовый язык Lua сильно убог. попробую на досуге.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подружить его с SUSE 11 мне не удалось. Глючит, окна размножаются и кричит о какой-то ошибке. Обидно, блин, под виндой все нормально. Я новичок в мире линукс, может еще и разберусь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

что-то мне так и не удалось запустить ни один плагин (в частности хотел оценить codebrowser). подскажите, что я упустил из виду: Инструменты -> Управление плагинами -> codebrowser (галочка), перезапуск, Вид->Shelf->Показывать полку. и никаких эффектов кроме серого окна shelf под полем окна редактирования (файл на соответствующем языке открыт)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Бесплатный достойный внимания текстовый редактор:

http://editra.org/

Приятно удивило, что язык System Verilog в нем имеется по умолчанию и он умеет распознавать функции. Больше ничего не знаю, глубоко не копал.

 

без интерактивных тегов это не серьезно, порадовало сворачивание кода.

 

надо ждать 2009 слик

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вид->Просмотр кода

спасибо! неправильно понял документацию (многабукф)

это круто! такой навигатор по коду для SV - как раз то что мне не хватало в SciTe. пытался в SciTe написать свой для SV, но деревянность регулярных выражений в Lua просто не позволяет сделать что-либо грамотное.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...