Перейти к содержанию
    

Я как консерватор, сейчас сижу на связке Aldec AHDL 6.1SP1

Пишу на Verilog, VHDL. И ни какаих проблем не испытываю.

Сам Aldec интегрируется и с квартусом и со всем чем хочеш.

И пробую все связки вплоть до Aldec->Certify->Quartus

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Согласен про Aldec!

На мой взгляд - это один из самых удобных редакторов.

А если учесть (как уже говорилось выше ) что для всех разумных FPGA

у него есть поддержка интеграции с средствами синтеза -- то вывод очевиден,

если позволяет задача, лучше и начинать и вести разработку в среде Aldec.

Кроме того в Aldec неплохо сделана поддержка профайлинга и верификации

(PSL и пр.).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Active HDL в плане набора кода - лучший из того, что видел, кроме того, его сканер понимает груду других языков. Да и все остальное ГУИ в нем да бест. Жалко, что редактор нельзя отцепить от самой среды, симулирует не так как хотелось бы и файлы с диаграммами большие :(. Хотя для небольших проектов - среда тоже подойдет :).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть хороший Codewrite (или HDL Turbo Writer), но если не хочеться в нем разбираться и настраивать под проект, самой хорошей альтернативой будет Aditor Pro - очень простой редактор, однако есть все необходимые функции, ну и подсветка, разумеется, на любой вкус...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Codewrite (или HDL Turbo Writer),  Aditor Pro

А быстро закоментить/раскоментить, добавить/удалить табуляцию для тонн выделенного кода в них можно?

 

Тоже ищу что-нибудь не на пол гигабайта (Active HDL), но просто сканера все же маловато будет, особенно в случае VHDL с его "продвинутой" технологией коментирования кода.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Codewrite (или HDL Turbo Writer),  Aditor Pro

А быстро закоментить/раскоментить, добавить/удалить табуляцию для тонн выделенного кода в них можно?

 

HDL Turbo Writer и Aditor Pro в глаза не видел --- не знаю, а в Codewrite можно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как оказалось, gvim доступный на www.vim.org, можно очень хорошо интегрировать в Xilinx ISE. Т.е. он будет запускаться, для каждого нового файла открывать подокно и ставить курсор на нужную строчку. Получается очень удобно, в особенности если есть привычка пользоваться vim'ом. :)

 

Я использовал gvim 6.3.

Для интеграции в ISE нужно прописать строчку редактора следующего вида:

"D:\Program Files\Vim\vim63\gvim.exe" --servername gXILINX --remote-silent +"<C-\><C-N>$2gg" $1

Нужно только правильно исправить путь к исполняемому файлу.

 

Кроме того, чтобы все было хорошо и правильно открывалось прилагаю файл инициализации vim. Его нужно переписать в свою домашнюю директорию.

.vimrc.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я пользуюсь UltraEdit'ом (10.10b). Как уже было ранее замечено, он без труда интегрируется в ISE, а для того, чтобы научить его синтаксис VHDL подсвечивать (в достаточном для меня объеме) понадобилось максимум полдня (кстати я его и на UCF науськал). Особенное восхищение вызывает возможность закомментировать выделенный блок и еще режим вертикальных блоков (кто пытается писать с отступами, тот меня поймет). А кроме того не VHDL-ем же единым, С, HTML и т.д. и т.п. По-моему, must have.

 

Желающим могу выложить куски вордфайла.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

BSV - А можно подробнее про комментирование отдельных блоков? (Если ты только не имеешь ввиду Fold).

А то уж очень не хватает VHDL команды /* и */.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

BSV - А можно подробнее про комментирование отдельных блоков? (Если ты только не имеешь ввиду Fold).

А то уж очень не хватает VHDL команды /* и */.

 

К сожалению обрадовать не могу - нет такого варианта комментария в VHDL. Но UltraEdit умеет ставить комментарий в каждой строке выделенного блока и убирать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я пользуюсь UltraEdit'ом (10.10b). Как уже было ранее замечено, он без труда интегрируется в ISE, а для того, чтобы научить его синтаксис VHDL подсвечивать (в достаточном для меня объеме) понадобилось максимум полдня (кстати я его и на UCF науськал). Особенное восхищение вызывает возможность закомментировать выделенный блок и еще режим вертикальных блоков (кто пытается писать с отступами, тот меня поймет). А кроме того не VHDL-ем же единым, С, HTML и т.д. и т.п. По-моему, must have.

 

Желающим могу выложить куски вордфайла.

 

Я был бы благодарен... (вышли на [email protected]) :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я пользуюсь связкой ActiveHDL->SynplifyPro->Quartus. Недостаток такой: Quartus обновляют побыстрее Aldeca, поэтому возникает проблема совместимости библиотек (например, в случае использования элементов, сгенерированных визардом Quartusa). А так вполне удобно и вместо Quartusa можно поставить ISE.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я поначалу тоже маялся с подключением UltraEdit к ISE, но потом понял, что много времени уходит на переключение окон. Что касается табов - во встроенном редакторе их тоже можно заменять пробелами.

Что касается временного моделирования, последнее время я им всё реже пользуюсь (хватает функционального ... почти всегда), что резко сокращает время итерации. Причём я не запускаю при каждой итерации моделсим, а в командной строке моделсима ввожу последнюю исполеннную команду (благо он их запоминает). Таким образом время итерации : Отредактировать - симульнуть занимает порядка минуты.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я пользуюсь связкой ActiveHDL->SynplifyPro->Quartus. Недостаток такой: Quartus обновляют побыстрее Aldeca, поэтому возникает проблема совместимости библиотек (например, в случае использования элементов, сгенерированных визардом Quartusa).

Внутри самого квартуса есть все библиотеки. Просто их надо подключить к ActiveHDL. И далее все заработает на УРА.

 

Я моделирую любой LPM блок в ActiveHDL 6.1 Хотя квартус 4.2 SP1

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...