Перейти к содержанию
    

Документация на System Verilog

Verilog and SystemVerilog Gotchas: 101 Common Coding Errors and How to Avoid Them, Stuart Sutherland, Don Mills. 2007

http://electronix.ru/forum/index.php?showt...st&p=773419

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ищу документацию на System Verilog.

 

Если у кого есть SystemVerilog-2009, киньте мне на рабочую почту, т.к. все фтп у меня закрыты...

Или ссылочку, где можно свободно скачать...

Заранее спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если у кого есть SystemVerilog-2009, киньте мне на рабочую почту, т.к. все фтп у меня закрыты...

Или ссылочку, где можно свободно скачать...

Заранее спасибо!

тут

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Выложил кое-какие материалы по SystemVerilog на своем сайте SystemVerilog.ru. Сайт делаю в свободное от работы время, поэтому ему еще развиваться и развиваться :rolleyes: Пишите, если будут пожелания, замечания и т.д.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

 

 

если можно, было бы интересно тоже посмотреть книгу, ftp нет ( новичек ) и ссылка уже умерла, спасибо заранее!

 

и еще - есть ли какой то рейтинг документов по SV ? вроде самих доков и много, но все же ....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

и еще - есть ли какой то рейтинг документов по SV ? вроде самих доков и много, но все же ....

на форуме пока нет, но свои предпочтения участники периодически высказывают.

если создадите такой специальный опрос, думаю будет полезен он для многих. можно со временем и голосование подключить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

на форуме пока нет, но свои предпочтения участники периодически высказывают.

если создадите такой специальный опрос, думаю будет полезен он для многих. можно со временем и голосование подключить.

 

 

ok, посыл понял :)

 

- первым делом надо сделать каталог что имеется - потом можно и на голосование,

 

надо подумать как собрать найболее список книг по форуму или/и ftp

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

надо подумать как собрать найболее список книг по форуму или/и ftp

ничего централизованно собирать не придётся - открываете топик постите вопрос "назовите 1/2/3 наиболее понравившиеся/полезные/нужное_вставить вам книги по СВ для задач а)моделирования б)верификации в)дизайну"; ждёте 1-2 недели, отбираете, сортируете, выставляете на голосование с открытым пунктом "другая книга(просьба указать)".

наслаждаетесь статистикой и чувством удовлетворения от общественно полезного дела

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

недавно увидел книгу - A SystemVerilog Primer

http://www.amazon.com/SystemVerilog-Primer...;sr=8-1-catcorr

 

может кто листал/купил ее уже?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Наткнулся на книжку по System Verilog на русском языке:

Проектирование и верификация цифровых систем на кристаллах. Verilog and System Verilog / В.И. Хаханов, И.В. Хаханова, Е.И. Литвинова, О.А. Гузь. - Харьков: ХНУРЭ. - 2010. - 528 с.
Меня хватило только на необрезанный скан. Может кто захочет почистить и сделать djvu... А может у кого уже есть она в электронном виде, может я зря 2 часа у сканера стоял?...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У вас там одна из страниц оглавления смазана сильно, 523 кажется. Ну а вообще можно попробовать сделать djvu если её ещё нет в электронном виде.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У вас там одна из страниц оглавления смазана сильно, 523 кажется. Ну а вообще можно попробовать сделать djvu если её ещё нет в электронном виде.
Эта страница 524, она затем продублирована. Т.е. файл sv0527 можно удалить.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Готово, положил в:

/upload/BOOKS/FPGA/проектирование_и_верификация_цифровых_систем_хаханов.djvu    10 Мб

Качество получилось не на высоте. Николашка вы наверно сканировали в 2-х цветном режиме, для качественого djvu рекомендуют 300dpi в градациях серого. Если будете ещё чтонибуть сканировать помните об этом ;) . Ну а так что есть то есть. На всякий случай положил книгу на обменник

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем Привет!!!! Пожалуста помогите разобратся. Раньше програмировал PIC и таких проблем невозникало . А сейчас решил прикрутить LCD к Cyclone 1 и задержки неработают

Может есть другие методы

мне нужно

реализовать передачу данных

пробовал

E=1;

delay(15);

DATA=DD;

delay(15);

E=0;

 

 

task delay

////

endtask

Интересует Verylog

 

always @ (posedge clk)

begin

t=t+1;

if ((t>17)||q)

begin

p=1;

t=0;

end

 

end

always @ (posedge p)

пробовал делать то что выше но так неудобно

Мне нужна универсальная задержка

 

Почемуто в разных примерах встречается запись # но в quartus 91 почемуто неработает

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...