Перейти к содержанию
    

Gowin EDA - релизы и общие вопросы

11 минут назад, mse сказал:

16 линий перетыкивать несколько раз, как-то напряжно, проще написать тупую затычку.

Хорошо, можно пойти другим путём - присваивайте неиспользуемым I/O значение Z:

module iobuf_test (
    input  wire             in,
    inout  wire             io,
    output wire             out
);
    assign out = in ^ io;
    assign io  = 1'bz;
endmodule

В таком примере при назначении DRIVE сигналу IO никаких проблем нет, проект транслируется без ошибок и предупреждений. Но если закомментировать присвоение Z, то начинается ругань как у вас.

Можно ещё усилить эффект с помощью атрибута syn_keep:

assign io  = 1'bz  /* synthesis syn_keep=1 */;

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

47 минут назад, mse сказал:

Нужно ткнуть в каждый пин и выбрать опцию.

Всё делается в текстовом виде, а приверженцы GUI, да, вынуждены тыкать по сто раз.

48 минут назад, mse сказал:

росто такого инициативного ИДЕ ни разу не встречалось.

Вангую, что вы с воронежским ещё не работали.

48 минут назад, mse сказал:

Я отлаживаю куски

Скажите, а вы отлаживаете как? Вы моделирование с тестовым окружением делаете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 часов назад, mse сказал:

constr1.jpg

Offtopic. @mse, подскажите, пожалуйста, что за шрифт вы используете тут?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, dxp said:

Offtopic. @mse, подскажите, пожалуйста, что за шрифт вы используете тут?

Что-то по умолчанию. DejaVu Sans Mono.

10 hours ago, Zversky said:

Всё делается в текстовом виде, а приверженцы GUI, да, вынуждены тыкать по сто раз.

Вангую, что вы с воронежским ещё не работали.

Скажите, а вы отлаживаете как? Вы моделирование с тестовым окружением делаете?

Полюбому, не дело ИДЕ без спроса мнеять такие вещи. Насыпь варнингов, например. Но если нет логических или синтаксических ошибок, нафига рвать компиляцию?

Отлаживаю "никак". Если что простое, то хватает временного анализа. Если сложное, то у меня есть Квартус7.1, который быстрый, как понос, в компиляции и имеет встроенный "моделятор", с которым тоже всё быстро. Под Линуксом, без проблем. Частоты у меня сильно меньше 200МГц, пользую.GW1N-LV9. Если программа работает на модели Циклона2, то в таржэте будет работать тем более. Ну и на временной анализ смотреть надо. Мало ли.

 
11 hours ago, makc said:

Хорошо, можно пойти другим путём - присваивайте неиспользуемым I/O значение Z:

module iobuf_test (
    input  wire             in,
    inout  wire             io,
    output wire             out
);
    assign out = in ^ io;
    assign io  = 1'bz;
endmodule

В таком примере при назначении DRIVE сигналу IO никаких проблем нет, проект транслируется без ошибок и предупреждений. Но если закомментировать присвоение Z, то начинается ругань как у вас.

Можно ещё усилить эффект с помощью атрибута syn_keep:

assign io  = 1'bz  /* synthesis syn_keep=1 */;

 

Ну, это тоже закат солнца вручную. Так я поставил затычку, дойду до чтения по шине и закомментирую. Всех делов.

Просто не думал, что такое может быть.

 

Спасибо за отклики.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 1/22/2024 at 2:38 PM, DanilinS said:

Вполне возможно что кристалл идентичен для всей серии GW5.  Это гораздо проще для производства. "Лишнии" модули просто не проходят тестирование. 

Даже маркировка похожа лотом на ES:

IMG_20240124_111533.thumb.jpg.301f2fffd7cb2c2a0b337250cff5a7c3.jpg

On 1/22/2024 at 9:53 AM, faa said:

На моем Tang Mega 138K от Sipeed  стоят такие микросхемы.

photo_5291836984043623291_y.jpg

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 24.01.2024 в 11:22, _4afc_ сказал:

Даже маркировка похожа лотом на ES:

Но datecode - то отличается :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте! Если можно подскажите про GoWin EDA Educational для Tang Nano 9k: Какой размер памяти (блочной, распределённой и какая там ещё в главном кристалле?) для простейшего процессора (написанного вручную на Verilog) можно надеяться сконфигурировать в виде самых обычных ROM для команд и RAM для данных (и то и другое с байтовой организацией, хотя бы по 4 килобайта каждое (но хотелось бы побольше)).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 часов назад, Alex_Caledin сказал:

Здравствуйте! Если можно подскажите про GoWin EDA Educational для Tang Nano 9k

Здравствуйте! Что мешает вам самому поставить и ответить не только на все ваши вопросы, но и другие, сопутствующие?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/6/2024 at 9:09 AM, Zversky said:

Что мешает поставить . . .

- да вот, сомнения мешаются... надо конечно поставить учебную версию, а в качестве первого шага хочется сделать "ROM" с заданной информацией, и полюбоваться как эта информация побайтно выдаётся на выходы; и вот надо долго разбираться как это сделать - и это будет очень уж долго если это вообще нельзя сделать в учебной версии...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

15 минут назад, Alex163 сказал:

надо конечно поставить учебную версию

но зачем вам, вообще, учебная?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вышло обновление САПР Gowin EDA v1.9.9.01

Из добавленного - поддержка новых партнамберов ПЛИС семейства Arora-V, и несколько новых IP-ядер.

 

Залито в ./upload/FPGA/_Gowin_/v1.9.9.01_x64/

 

Release Note прилагаю.

 

 

RN100-1.9.9.01E_Gowin Software Release Note.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

33 minutes ago, StewartLittle said:

Вышло обновление САПР Gowin EDA v1.9.9.01

Что значит вот эта тенденция:

  1. IP Core does not support SDP36KE initial value configuration for GW5A(S) (T)-138 devices currently
  2. No longer support reading initial value from BSRAM for GW1N-4, GW1N-4 B, GW1NR-4, GW1NR-4 B, GW1NRF-4B devices.

Gowin решил постепенно убрать возможность использовать ROM и RAM c начальными значениями из mem файлов?

Предлагают читать из флеши самому и заполнять BSRAM?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/6/2024 at 3:01 PM, Zversky said:

но зачем вам, вообще, учебная?

- лично мне тяжело даётся освоение новых САПРов, а учебный вариант возможно всё-таки полегче, меньше подробностей в которых придётся путаться. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Alex163 сказал:

лично мне тяжело даётся освоение новых САПРов

Я могу с этим помочь, если есть желание. Пишите в ЛС, если надумаете.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/6/2024 at 12:34 AM, Alex163 said:

Здравствуйте! Если можно подскажите про GoWin EDA Educational для Tang Nano 9k: Какой размер памяти (блочной, распределённой и какая там ещё в главном кристалле?) для простейшего процессора (написанного вручную на Verilog) можно надеяться сконфигурировать в виде самых обычных ROM для команд и RAM для данных (и то и другое с байтовой организацией, хотя бы по 4 килобайта каждое (но хотелось бы побольше)).

Вы имеете в виду что? Память чипа или доступный ресурс через учебную ИДЕ? Если речь о чипе, смотрите его ДШ. В Танг Нано, наскока помню, стоит GW1NR-LV9 с мешком памяти в скока-то мегебайт. И 52к стандартной блочной.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...