Перейти к содержанию
    

VERILOG, тест бенч и не только

5 минут назад, one_eight_seven сказал:

Это не делают в тестбенче. Откуда такие требования?

это не требования а мои личные полежания для удобства работы с моделсим, чтобы каждый раз при вызове моделсим не тратить время на вышеописанные рутинные операции.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Они передаются в отдельном скрипте. Поищите что-то вроде tcl scripting for modelsim или modelsim tcl commands в документации

Изменено пользователем one_eight_seven

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, Halfback said:

1.График сразу стал ZoomFull

2.Определенные регистры, обьявленные как беззнаковые, показывались как Decimal а не Bin (почему -то так по умолчанию).

Это скорее задача из области своего tcl, для modelsim. Обычно вроде делается так:
Делается стартовый do файл, где в конце вызывается еще один, в котором уже конфигурируются сигналы и моделсим
 

do wave.do
run -all

Сам wave примерно так выглядит

add wave -group CLOCKS			 	/main_test/DUT/clk_1
add wave -group CLOCKS			 	/main_test/DUT/clk_2

add wave -group DATA -radix decimal		/main_test/DUT/register_1
add wave -group DATA -radix decimal		/main_test/DUT/register_2

где уже в конце можно поиграться зумом

WaveRestoreZoom {0 fs} [eval simtime]
...
wave zoom full

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...