Перейти к содержанию
    

И вот тут как раз чем меньше текста надо писать, тем лучше, тем быстрее я опишу модуль. CTRL-C CTRL-V конечно здорово, но при большом модуле легче написать ручками чем мотать его туда суда и искать те переменные что тебе нужны. Потому сейчас для себя выбрал верилог...

В VHDL большой модуль можно разделить на блоки, и в каждом блоке создать свои локальные сигналы и прочее, тогда не придётся далеко мотать в большинстве случаев. Удивительно, но я ни разу не видел в чужих исходниках, чтобы кто-то пользовался блоками.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в

(* *)

можно вставлять вычисляемое значение аттрибутов

 

типа

module m #(

parameter string ramt="fifo";

);

 

(* synt_ramtype=ramt *) my_ram_reg;

 

endmodule

 

как-то так

 

 

-----------------

 

выписка из стандарта

attribute_instance ::= (* attr_spec { , attr_spec } *)

attr_spec ::= attr_name [ = constant_expression ]

attr_name ::= identifier

Буду знать, спасибо.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В VHDL большой модуль можно разделить на блоки, и в каждом блоке создать свои локальные сигналы и прочее, тогда не придётся далеко мотать в большинстве случаев. Удивительно, но я ни разу не видел в чужих исходниках, чтобы кто-то пользовался блоками.
Я довольно активно использую локальные сигналы внутри generate.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возвращаясь к "холивару", как-то пришлось сделать мелкую работку по сравнению разницы в синтезе между этими двумя, несомненно хорошими языками. Результат оказался очевиден -- синтез был одинаков, НО НЕ в этом суть. Меня поразило то, на сколько они были похожи -- словно отражения друг друга. Конечно сыграли роль и примеры: это были чёткие, однозначные, академически выверенные конструкции (ну не абы ж какие fifo'шки генерить). Для каждой семантической команды был свой аналог на другом языке. У меня даже сложилось впечатление, что xst (всё делалось в Xilinx ISE) тупо переводит один язык в другой, а потом просто использует синтезатор.

Так что идея писать процы на питоне или Си не так уж далека от реальности. Главное обеспечить удобство. И может будущее за Vivado (с Си) и MyHDL (питон) ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

думаю конструкции обоих языков правда переводятся для синтеза в что-то средние...

 

И если Вивадо появилось, то оно кому то же нужно). Си с точки зрения скорописи сильно обгоняет и верилог и вхдл.

 

ну реально раздражает писать

 

ThisIsMyTemparyWithLongName <= ThisIsMyTemparyWithLongName + 1;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Те же (или аналогичные) чекеры и VHDL проверяют.

А не подскажете названия чекеров для VHDL?

Заранее признателен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А не подскажете названия чекеров для VHDL?

Заранее признателен.

В среде Mentor HDL Designer есть DesignChecker

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо. А как отдельная программа - есть?

 

То, что Вы хотите называется "linting tools".

Обычно входят в состав пакетов для формальной верификации.

 

Есть, но немного

Например,

http://www.syncad.com/hdlworks_companion_t...HDL_Verilog.htm

и (по серьезнее)

http://www.aldec.com/en/products/functiona...ification/alint

 

Вроде еще что-то пробегало. Вспомню - сообщу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо. А как отдельная программа - есть?

 

Есть.

 

Для начала гляньте раздел Linting tools здесь (ну, и остальное может быть интересно):

ASIC World

если у них все еще проблемы с сайтом - смотрим на Машине Времени:

То же самое на Wayback Machine

 

И на закуску - еще один достойный инструмент:

SpyGlass from Atrenta

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Холивары вечны. Инженер должен уметь читать на любом из 2х языков, а писать - на чём хочет.

Статистика: свитчеры VHDL->SV есть, а в обратную сторону - не наблюдается.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...