Перейти к содержанию
    

SFx

Свой
  • Постов

    647
  • Зарегистрирован

  • Посещение

Весь контент SFx


  1. плюсую. еще может быть такое не только с выводами, но и с GTx блоками. Некоторые корки имеют право задавать расположение их, но точно выбирать какие лейны используются - нет. отсюда нужна придельная внимательность при работе.
  2. Сайт об ЭМС

    контент полезный - 5 - однозначно в мемориз! хостинг все таки тормозит нещадно. правую кнопку мышки блокировать - плохой тон. и еще открой те консоль разработчика в браузере - там сплошные сообщения. Желаю дальнейшего развития !
  3. А Bios как различается ? А версия дистрибутива ОС и пакеты программного обеспечения от Intel ?
  4. Я б ее купил, если были разработки на Сях у меня.
  5. сравните по ножкам чипы. обычно они пин2пин совместимые. если получится найти такой, у которого косячные ноги не попадают, возможно это прокатит. еще бы я попробовал отредактировать HDL исходниики интерфейса, используя среду моделирования и модель памяти. быть может что нибудь бы заработало. а почему инициализация не проходит смотрели ? какой вердикт ядра ? на MIG есть AR траблшотинга.
  6. Эх, жаль, только 20числа поеду в Нижний... а то бы можно было и с клубом местных мотоциклистов познакомить.
  7. У меня была одна махенькая задачка, оценочная, я ее реализовал, но в проект она пока не вошла, там все таки была больше работа с памятью. Моделька крутилась хорошо, надо сказать.
  8. Тоже пару раз занимался, впечатления позитивные. Материалы систематизированы, рекомендую. По цене - могу сказать лишь то, что мне оплачивала организация, хотя цена вполне сравнимая с другими столичными курсами, где идут занятия в два дня с группами в несколько человек. Кроме всего прочего, выдаются сертификат по окончанию.
  9. Прошлым летом прошел курс в Инлайне по HLS - действительно перспективный инструмент, но, очень мало документации и примеров использования. на мой взгляд, он больше подойдет для задач, где требуется реализовать хоть как то прототип, без привязки к качественному быстродействия, но это все пока что. Определенно продукт развивается, и что будет через год - никому не известно. Для DSP, все таки лучше MATLAB... Хотя видел проект, как биофизики ускоряли на FPGA модель взаимодействия молекул в клетках, и у них неплохо это получилось.
  10. насколько я помню, клок на для GTX надо использовать тот, что на сам quad подключен. нужно уточнить по схеме этот вопрос.
  11. 1. не видел, но видел много TCL файлов внутри aldec'a 2. для старта проекта и управления do хватит, если вы хотите играться с сигналами и именами - то tcl 3. берет стартуете симуляцию на 38us. потом добавляете нужные вам wave'ы скриптом, и продолжаете еще 2us. asim top_tb run 38us add wave -R /* run 2us примерно так
  12. ХМ, а в чем проблема ручками сделать? берете icon на два канала, к одному ila цепляете, к другому vio. все работает
  13. длины дорожек выравнены на плате? блоки задержке на пинах в заданы? фаза тактовый сигнала правильная? частоту транзакций и общую скорость работы уменьшали?
  14. Подготовка какая нужна? я бы пошел, да вот не ясно с экипом...
  15. А вы HalfDuplex поддерживаете? тоже из опроса регистров эту информацию берете? Столкнулся с странным "флудом" на петле при halfduplex, не знаю даже куда копать.
  16. он просто переехал на другой адрес: http://www002.upp.so-net.ne.jp/morioka/v2v.html но один фиг не работает кроме как на его sample.v возможно, дело в разборе строк. UPD: Разобрался 1. 1'b 1 не допускает пробел нужно так => 1'b1 2. Обзывать вот так нельзя: always @(posedge clk or posedge reset) begin : p_state if (reset == 1'b1) ....... именами придется жертвовать => always @(posedge clk or posedge reset) begin if (reset == 1'b1) ....... 3. `define не понимает
  17. О, я так тоже боролся с DDR3 Mig. Сейчас после первого релиза делаю виртуалку, а там полный цикл сборки. думаю и Vivado подвержен.
  18. Есть в описаниях XST шаблоны, которые приводятся к Block RAM и шаблоны которые приводятся синтезатором к Distrubuted RAM. Их синтезатор находит в коде, и генерирует именно те блоки, которые в них описаны. Плюс такого подхода - быстрая симуляция без лишних библиотек.
  19. попробуйте в диагностический целях четыре отдельных корки использовать
  20. во-первых, у есть отличный help с описанием консольных macro-команд comp/asim/force/noforce/run и тд. и режима batch, когда весь воркспейс собирается-моделируется с нуля по batнику. во-вторых, есть практика вставки в код не синтезируемого кода, который работает только для симуляции. D нем легко задавать нужные сигналы, которые будут анализировать ваши регистры. Включая выводы текста в консоль и прочее. в-третьих, если совсем сложный тест сделать нужно, вы можете написать шаблон тестбенча, а в зависимости от того что вам нужно получить, вставлять в этот шаблон небольшие куски кода, ответственные за тестирование (к примеру ту же самую вашу компиляцию) и его же заставить сравнивать ваши сигналы. в-четвертых, можно использовать PSL вставки для контроля сигналов - но имхо этот способ муторный, может кто нибудь расскажет про него подробно... в-пятых, вы можете использовать С/С++ модель вашего процессора, работающую параллельно с отлаживаемым дизайном и сравнивать результаты в тестбенче. PS. еще вот это можно http://cocotb.readthedocs.org/en/latest/introduction.html глянуть
  21. Единственное, хочу обратить внимание на параметр IOPS вашего накопителя. Не стоит писать много мелких файлов в одну директорию - со временем, это деградирует файловую систему. Старайтесь избегать файлов размером 0,5-128 Кб - даже если будете писать такие файлы на RAMдиск (Справедливо для NTFS) больше 3000 файлов в секунду не получите, как бы не старались. сохраняйте данные только большими фрагментами, это позволить задействовать параметр линейная скорость записи у накопителя - а он всегда быстрее в разы.
×
×
  • Создать...