Перейти к содержанию
    

ysmat

Участник*
  • Постов

    70
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о ysmat

  • Звание
    Участник
    Участник

Посетители профиля

1 029 просмотров профиля
  1. идея в том чтоб создать магнитное поле постоянной напряженности переодически меняющее знак и мерять искажения этого поля от воздействия затухающих вихревых токов в мишени силовую часть я собрал проблема только с приемной про треугольный ток непонятно ток в катушке постоянный во время измерения его искажают только цели ети искажения крайне малы
  2. я сделал как раз по его теории но как снять сигнал с датчика ? любой трансформатор тока включенный последовательно с катушкой портит изначальный баланс кроме трасформатора тока где вместо вторички стоит датчик холла а зачем виток такой толстый 1см^2 если у нас высокоомная нагрузка из измерительного оу
  3. этот прибор вихретоковый металодетектор а дрейф это не помехи а полезный сигнал и его нужно усилить
  4. сразу после изменения поля в 100гс за 1mks через 5mks максимум устройство должно отслеживать вч дрейф этого поля если перевести это на парадигму измерения тока то на фоне переменного прямоугольного тока 700ma с временем смены знака 1mks нужно мерить вч дрейф микроамперного уровня пример было + 700ma прошло 1mks стало - 700ma прошло 5mks начало измерений стало - 700.001ma прошло 1mks стало - 700.003ma прошло 1mks стало - 699.999ma и т.д
  5. допустим есть магнитопровод (ферритовое кольцо) начальное состояние устройства - в кольце поля нет затем в течении 1мкs в кольце появляеться постоянное поле в одну сторону напряженностью 50-200гаус ето поле держиться в течении 0.1сек затем поле за 1мкs меняет направление на противоположное на фоне этих интервалов есть мизерные изменения этого поля задача очень точно померить поле в одну и другую сторону обмоткой никак не выйдет если много намотать собственная емкость катушки дает такой звон что шумы у SS49 мелочь фактически SS49 работает в прототипе тока чувствительность никакая из за шумов
  6. тут проблема как раз в том что намотку никакую нельзя впихнуть так как любой обмоткой исходное поле будет испорчено
  7. нужен сверхмалошумящий скоростной датчик холла на линейность и температурную стабильность особых требований нет например стандартный SS49 пошел бы если б не огромный уровень шумов у него от 4 до 20 мв в зависимости от экземпляра у меня допускаются шумы не более 100 мкв в полосе частот 1Kgц-1Mgц так же желательно скорость отклика 1us вместо 3us у SS49 к примеру AD22151 прецизионный датчик не подходит по быстродействию у него встроенная система усиления на шим кодеке что также неприемлемо из за порчи спектра цена подходит до 200$ за штуку вопрос существует ли в продаже что либо подобное ?
  8. встретил в чужом коде очень странную запись склейки шины wire signed [ 31 : 0 ] B0; wire signed [ 31 : 0 ] B1; wire signed [ 31 : 0 ] B2; wire signed [ 31 : 0 ] B3; BF4_comb u1 ( .re_0(r_data[1]), .re_1(r_data[3]), .re_2(r_data[5]), .re_3(r_data[7]), .reout_0(B0[31-:16]), .reout_1(B1[31-:16]), .reout_2(B2[31-:16]), .reout_3(B3[31-:16]), .imout_0(B0[15-:16]), .imout_1(B1[15-:16]), .imout_2(B2[15-:16]), .imout_3(B3[15-:16]) ); суть из блока BF4_comb u1 выходят шины по 16 бит которые склеены в одну 32 битную что означает B0[31-:16] B0[15-:16] и как это понять понятная для меня форма B0[31:16] и B0[15:0]
  9. возможна ли конвертация нарисованной схемы с использованием библиотечных функций в текстовый вид типа verilog или VHDL нужно для переноса модуля в другой проект опция create hdl design file бесполезна так как создает файл по прежнему связаный с мегафункциями текущего проекта нужна полная конвертация логики в текст так чтоб в другой проект добавить только один файл
  10. да это печально а какой же тогда смысл у конфигурации в этих опциях pin planer если он внутри не может переключить 2.5 v то есть вся плата теперь непригодна я и еще и с диф парами попал мало того что 2.5 вольта нет так еще компилятор не дает использовать рядом стоящие с ними пины благо китайцы умеют дешево делать платы закажу новую
  11. проблема не преключаються IO стандарты потребовалось 2.5 вольта на ножках вместо штатных 3.3 задал в pin planer свойства для всех ножек одного банка 2.5V defaulf однако как показали замеры амплитуда так и осталась 3.3 и ничего не помогает компиляция проходит проект заливаеться 3.3 стоит мертво на 1.2 то же не переходит пробовал даже новый пустой проект создать с нуля те же грабли чип циклон 3 EP3C25E144C8 Quartus 13 версия
  12. ну если с mode[7:0]; особых проблем нет я потом в avr переверну то как сделать это TRIG[0:38] <= TRIG[1:39]; такая же проблема я вобще не професионал и вряд ли им стану восновном делаю для себя разные девайсы типа хобби и все но на мой субективный взгяд верилог тяжелый язык для новичка тут надо точно знать что как делаеться в соседней ветке про управляемый гребенчатый фильтр где нужно сделать вычисляемый отвод от цепочки регистров та конструкция через for что я везде ставил оказалось не работает еслиб не помощь я б решения не нашел по поводу разнообразия полностью согласен нужен один стандарт на язык програмирования ну понятно что отличия все равно будут но одни и те-же по смыслу функции очень желательно чтоб везде были одинаковыми например фигурные скобки {} а здесь begin и end зачем так сделали непонятно вобщем решил не связываться с for вместо TRIG[0:38] <= TRIG[1:39]; TX <= TRIG[0]; TRIG[0] <= TRIG[1]; TRIG[1] <= TRIG[2]; TRIG[2] <= TRIG[3]; TRIG[3] <= TRIG[4]; TRIG[4] <= TRIG[5]; TRIG[5] <= TRIG[6]; TRIG[6] <= TRIG[7]; TRIG[7] <= TRIG[8]; TRIG[8] <= TRIG[9]; TRIG[9] <= TRIG[10]; TRIG[10] <= TRIG[11]; TRIG[11] <= TRIG[12]; TRIG[12] <= TRIG[13]; TRIG[13] <= TRIG[14]; TRIG[14] <= TRIG[15]; TRIG[15] <= TRIG[16]; TRIG[16] <= TRIG[17]; TRIG[17] <= TRIG[18]; TRIG[18] <= TRIG[19]; TRIG[19] <= TRIG[20]; TRIG[20] <= TRIG[21]; TRIG[21] <= TRIG[22]; TRIG[22] <= TRIG[23]; TRIG[23] <= TRIG[24]; TRIG[24] <= TRIG[25]; TRIG[25] <= TRIG[26]; TRIG[26] <= TRIG[27]; TRIG[27] <= TRIG[28]; TRIG[28] <= TRIG[29]; TRIG[29] <= TRIG[30]; TRIG[30] <= TRIG[31]; TRIG[31] <= TRIG[32]; TRIG[32] <= TRIG[33]; TRIG[33] <= TRIG[34]; TRIG[34] <= TRIG[35]; TRIG[35] <= TRIG[36]; TRIG[36] <= TRIG[37]; TRIG[37] <= TRIG[38]; TRIG[38] <= TRIG[39]; TRIG[39] <= 0; напрямую написал
  13. попробовал скомпилить опять пошли ошибки компиляции причем ну очень странного содержания TRIG[0:7] <= mode[7:0]; Error (10198): Verilog HDL error at avrout.v(42): part-select direction is opposite from prefix index direction вроде что то с разрядностью причем ошибки везде где шина развернута если же прямо TRIG[7:0] <= mode[7:0]; все ок никаких проблем
  14. always @(posedge clock) begin sinxr_avr_ena <= ena_avr; sinxr_clk_avr <= clk_avr; if (sinxr_avr_ena == 0) begin TRIG[0:7] <= mode[7:0]; TRIG[8:20] <= FAZ[12:0]; TRIG[21] <= FAZ[12]; TRIG[22] <= FAZ[12]; TRIG[23] <= FAZ[12]; TRIG[24:36] <= AMP[12:0]; TRIG[37] <= 0; TRIG[38] <= 0; TRIG[39] <= 0; end else begin if ((~sinxr_clk_avr & clk_avr)) begin TX <= TRIG[0]; TRIG[0:38] <= TRIG[1:39]; TRIG[39] <= 0; end end end endmodule переделал ругани нет завтра буду пробовать
  15. ena_avr конечно медленнее основного clk суть какая по основному клоку происхоит паралельная загрузка в буфер когда ena_avr 1 тогда паралельная запись блокируеться и побитово выгружаеться в avr через avr_clk а как сделать по другому ? avr ведь может делать чтение абсолютно асинхронно в зависимости о загружености другими задачами
×
×
  • Создать...