Перейти к содержанию
    

eugen_pcad_ru

Свой
  • Постов

    698
  • Зарегистрирован

  • Посещение

Весь контент eugen_pcad_ru


  1. Можно взять либу из версии 8.1... Если уж совсем будет туго, могу и выложить куда-нибудь. P.S.: 2des00: ссылкой не поделитесь, где можно почитать о "ручками скомпилировать из сорцов и не париться"? Спасибо!
  2. Не уверен, но мне кажется у agilent techologies есть что-то в этом роде...
  3. Это реализация в "цифре". Аналоговую модуляцию необходимо делать аналоговыми методами. По моему можно сделать ЧМн сигнал, число спектральных составляющих в котором эквивалентно (не обязательно равно) числу дискретных состояний модулирующего сигнала (+ обеспечение непрерывности фазы при переходах), что может оказаться трудно реализуемым:)... Даже сам не понял, что написал:) А если попробовать управлять напрямую фазой сигнала? Закон изменения которой соответствует изменению в частотной области? имхо сюда копать надо? Но может я не прав.
  4. может попроще? имхо определить наличие сигнала (без подсчета параметров) можно простым триггером. А сброс этого триггера делать скажем раз в секунду. И никаких dcm, pll не надо... Конечно для определения параметров сигнала (частота, скважность и т.п.) это не подходит. Не устроит?
  5. Описание где можно почитать?
  6. Как вариант: гарантаруемое максимальное число перепрошивок (по дукументации) 100. Может уже выбрали?
  7. Всем доброго времени суток! В этой области немного чайник:) Так что заранее извиняюсь за, наверное, тривиальный вопрос. Могут ли в рамках одного компа и операционной системы быть несколько pci (или pcie) устройств с полностью идентичным значением конфигурационных регистров? Т.е. прошивка плис в каждой плате одна и та же, без каких либо изменений. Это не приведет к каким-либо конфликтам? Заранее спасибо за ответы! P.S.: Во всех покупных изделиях есть отличия: хотя бы на уровне серийного номера. Наверное в разрабатываемых платах тоже надо будет генерить серийники?
  8. А поставить мезонином на готовую рci или pсie плату не вариант? Хотя наверное действительно лучше переходник через юсб...
  9. Попробуйте конвертор v->vhd (http://www.questatechnologies.com/)
  10. Математически?.. Это уравнение кривой:) Или в частном случае прямой:) Вычисляется на основе определения скз шума. СКЗ ж можете посчитать? Далее рисуете ПРВ шума, ставите свое значение порога, и определяете вероятность превышения этого порога. P.S.: Начните с определения матожидания, скв и построения прв.
  11. Поищите исходники проектов на dev kit... В случае чего может помочь fрgа4fun. Удачи!
  12. Если речь идет о платах от aльтиумa (типа разных нанoбoрдoв), то они могут работать и только от альтиума (насколько я читал на них документацию). Но иметь при себе пакет isе тоже было бы неплохо:)
  13. Никак. Аналогия с высокоуровневыми программами не уместна.
  14. При расчете всего диапазона алгоритм герцеля (АГ) работает медленней обычного бпф, но позволяет отрисовывать спектр мощности "в реальном масштабе времени". Но замечательная особенность АГ состоит в том, вто им необязательно рассчитывать все составляющие спектра. Можно выбрать, что Вам нужно. Если Вы используете обычный бпф, то там необходимо перерисовывать всё. Да, спектр мощности по своей природе есть сумма результатов N фильтров. Может просто фильтрами воспользоваться?;) Тогда придете к обычному дискретному дфт по моему. P.S.: В разных звуковых программах есть понятие усреднения спектра, при отрисовке которого "картинка наслаивается одна на другую". Смотрится прикольно. Может Вам этим методом воспользоваться. Естесственно он не имеет никакого отношения к алгоритму рассчета, только к механизму перерисовки. Я так понимаю что перерисовка 1024 отсчетов просто раздражает глаз?
  15. Вы когда-нибудь пробовали во время прохождения товарняка расслышать шепот другого человека с противоположной стороны перрона? По моему проще научиться читать по губам:) Можно пробовать только при полностью известном сигнале. Теория гласит: оптимальный согласованный фильтр. В простейшем случае может быть наверное коррелятор... В реальности никогда с таким не сталкивался. Но по моему достоверность будет мягко говоря не очень:)
  16. Всем доброго времени суток! Реализую простой проект на EPM7128: обмен по cоm-порту: запись/чтение. Воспользовался исходниками с fрga4fun.соm: "async_transmitter.v" и "async_receiver.v" (в перспективе проект надо будет переделать под хilinх). "Прикрутил" к ним парамтры скорости обмена и тактовой частоты (см. прилагаемый рисунок). Написал две программки: одна пишет в порт, вторая просто считывает. Проблема в следующем: при считывании из соm-порта в начале (в течение от 0 до 4 символов) может идти мусор. В чем может быть проблема: в программе или прошивке? Где моя ошибка? Заранее спасибо всем ответившим! P.S.: Искал ветку с проблемой, похожей на мою (ведь не первый же я наверное с таким вопросом?;)), не нашел:) Ткните если есть:) P.P.S.: Прикладываю также на всякий случай программу для чтения из порта "com.zip" (VS'2008) и проект под плис "RS_Test.zip" (Quаrtus 9.1). RS_Test.zip com.zip
  17. По стандарту обмена SСSI на устройствах устанавливаются розетки, на кабеле - вилки. С моей точки зрения вроде бы как должно быть наоборот (так же как для IDE к примеру). Так вот собственно вопрос: это сделано наобум, или в этом присутствует некий смысл, который я пока уловить не могу? Заранее спасибо всем ответившим! P.S.: Разрабатываю упрощенный самодельный аналог:) Интересует реализация конструктива
  18. Всем доброго времени суток! Есть отдельная ветка по интерфейсам, но там обсуждается уже логика работы. У меня же вопрос именно начинающего, а именно: По стандарту обмена SСSI на устройствах устанавливаются розетки, на кабеле - вилки. С моей точки зрения вроде бы как должно быть наоборот (так же как для IDE к примеру). Так вот собственно вопрос: это сделано наобум, или в этом присутствует некий смысл, который я пока уловить не могу? Заранее спасибо всем ответившим!
  19. 2Мур: Кстати, пробовал перевести sch или sym файлы в vhdl... Встроенная утилита в ISE 12.4 пишет что не та версия файла символа или схемы (в зависимости от того, что пытаюсь сконвертить):( Это вообще можно как-либо сделать в последних версиях ISE? P.S.: Если использовать имена простых цепей, то всё действительно нормально... Проблемы только с шинными сигналами возникают:(
  20. У меня схожая проблема: поясню Объясните пожалуйста чайнику, как задействовать цепи с одинаковыми именами на разных страницах ise-дизайна? Поясню: на 3-м листе с выхода регистра есть скажем сигнал "Outp(0)". На первом листе этот сигнал (входящий в шину) надо задействовать для управления мультиплексором. ise 12.4 выдает ошибку типа "Мulti-sоurce in Unit <Tор> оn signal <Outp<0>>; this signаl is connесted tо multiрle drivеrs". может кто ответит или поделится ссылкой, где читать? P.S.: Во всех примерах от хilinх-a в схематике только однастраничные дизайны P.P.S.: Думал, что указав одинаковые имена проблемы вообще не возникнет... Или мои лыжи не едут?
  21. Хмм... Наверное не в тему, но может кто ответит или поделится ссылкой, где читать? Объясните пожалуйста чайнику, как задействовать цепи с одинаковыми именами на разных страницах ise-дизайна? Поясню: на 3-м листе с выхода регистра есть скажем сигнал "Outp(0)". На первом листе этот сигнал (входящий в шину ) надо задействовать для управления мультиплексором. ise 12.4 выдает ошибку типа "Мulti-sоurce in Unit <Tор> оn signal <Outp<0>>; this signаl is connесted tо multiрle drivеrs". P.S.: Во всех примерах от хilinх-a в схематике только однастаничные дизайны:( P.P.S.: Думал, что указав одинаковые имена проблемы вообще не возникнет... Или мои лыжи не едут?
×
×
  • Создать...