Перейти к содержанию
    

Maverick_

Модератор
  • Постов

    3 865
  • Зарегистрирован

Репутация

15 Хороший

Информация о Maverick_

  • Звание
    я только учусь...
    Гуру
  • День рождения 12.01.1979

Контакты

  • Сайт
    Array
  • ICQ
    Array

Retained

  • Звание
    Array

Посетители профиля

29 611 просмотр профиля
  1. есть разница для синтеза и для симуляции? просто vivado разделяет при добалении исходников описаний
  2. Возьмите сохраните какую то область изображения в блочной памяти или не несколько строк (посмотрите есть ли реакция на свет - писксели меняют значения) потом данные передайте на комп например через юарт например или посмотрите на них в внутрисхемном отладчике убедитесь что принимаете с камеры правильные данные потом тоже самое после вычитки с ddr памяти
  3. я не вижу предварительной буферизации перед записью в память; арбитра доступа нет...
  4. https://docs.amd.com/v/u/en-US/xapp888_7Series_DynamicRecon Заранее спасибо...
  5. У процессора есть возможность подключения SRAM памяти? если есть то лучше сделать - fpga имитирует работу SRAM памяти - процессор видит fpga как внешнюю SRAM память тогда берете двухклоковую память один порт заводите на на логику имитирующую SRAM память второй порт на Вашу логику + 1-2 сигнала от процессора (GPIO) для квітірования операций (готовность данних, какие-то команди) В случае с SPI тоже самое процессор мастер. FPGA слейв. Есть протокол общения. Логика FPGA декодирует протокол. В зависимости например данние или команди пишет в блочную память (двухклоковую и двухпортовую) по определенним адресам команди/данние И дает сигнал квитирования дальнейшей логике для дальнейшей обработки... по 1-2 сигнала от процессора (GPIO) для квітірования операций - логика понимает по каким адресам надо считать Для процессора наоборот - логика пишет в память и квитирует по gpio (возможно на преривание для процессора) Таким образом можно передавать информацию размером в несколько сотен байт/слов Можно организовать даблбуффер в FPGA для обмена... пришла команда с процессора дай мне такие то данние - мастер видает N байт/слов(главное клок SPI) - логика слейва видает нужную информацию
  6. Интересует референсый дизайн "Serial LVDS High-Speed ADC Interface" xapp524- zip архив https://docs.amd.com/v/u/en-US/xapp524-serial-lvds-adc-interface Заранее благодарен
  7. используй library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; тогда все будет ок) (я использую vhdl2008)
  8. возможно library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity demux is generic ( SEL_W : natural := 4 ); port ( -- inputs din: in std_logic; sel: in std_logic_vector (SEL_W-1 downto 0); -- outputs data_out: out std_logic_vector (2**SEL_W-1 downto 0) ); end demux; architecture rtl of demux is begin demux_pr: process(sel, din) begin -- set all the outputs to '0' to avoid inferred latches data_out <= (others => '0'); -- Set input in correct line data_out(to_integer(unsigned(sel))) <= din; end process; end rtl; такую конструкцию имели ввиду
  9. я все время использую для описания мультиплексора (VHDL) ptr_read_next <= ptr_read + 1 when rd_en_int = '1' else ptr_read; или под клоком в процессе с помощью if else
  10. если посмотреть его репозиторий много плюшек можно найти... )))
  11. к BSACPLD рекомендую к использованию корки I2C по ссылке
  12. привет, у тебя нет переделанного модуля I2C для 7 битной адресации - просто микросхема не поддерживает 10 битную адресацию отбой - разобрался... все работает работает в связке с stm32 логика мастер <=> процессор слейв
×
×
  • Создать...