Перейти к содержанию
    

Сделал через PLL клоки.У меня правильно получилось?
Крайне не рекомендуется делать кучу клоков без особенной на то необходимости. Сигналы, пересекающие клоковые домены, требуют особой синхронизации (в случае зависимых клоков с PLL будет немного проще, но лучше без этого обойтись)

Используйте сигналы Enable, которые будут выбирать в схеме когда переключаться, но само переключение делайте на самом быстром клоке.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Диодом моргать научились? Если нет, забейте на АЦП и моргайте светодиодом.

 

Да.Научился.Обычное присвоение сигнала,через assign. Там разве так же нужно присвоить?

 

always @ (negedge INCLK0) 
        begin
            if ((COUNT == 97) || (COUNT == 98))
                CNV = 1'b0;
            else 
                CNV = 1'b1; 
                     end

 

Утверждение сигнала у меня тут идет.Но как его связать , для меня затруднительно.

 

 

Крайне не рекомендуется делать кучу клоков без особенной на то необходимости. Сигналы, пересекающие клоковые домены, требуют особой синхронизации (в случае зависимых клоков с PLL будет немного проще, но лучше без этого обойтись)

Используйте сигналы Enable, которые будут выбирать в схеме когда переключаться, но само переключение делайте на самом быстром клоке.

 

Убрал лишний клок на входе и пару на выходе.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Диодом моргать научились? Если нет, забейте на АЦП и моргайте светодиодом.

Сурово, но справедливо :)

 

А еще я бы автору темы посоветовал воспользоваться симулятором Modelsim Altera Starter Edition, он бесплатный.

К SignalTap прибегают только тогда, когда схема уже работает в целом отлично, но нужно выяснить какие-то проблемы.

Но очевидно что на таком этапе как в этой теме - начинать надо с симулятора. Научиться писать тестбенчи, и только после этого пытаться работать с более сложными вещами.

 

При этом я понимаю, как сильно хочется пропустить этот этап освоения и кинуться в бой, но не удалось.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сурово, но справедливо :)

 

А еще я бы автору темы посоветовал воспользоваться симулятором Modelsim Altera Starter Edition, он бесплатный.

К SignalTap прибегают только тогда, когда схема уже работает в целом отлично, но нужно выяснить какие-то проблемы.

Но очевидно что на таком этапе как в этой теме - начинать надо с симулятора. Научиться писать тестбенчи, и только после этого пытаться работать с более сложными вещами.

 

При этом я понимаю, как сильно хочется пропустить этот этап освоения и кинуться в бой, но не удалось.

 

Спасибо,за направление. Но неужели ничего из того , что я пишу не верно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

SPI-мастер для похожего АЦП, отличие в том, что тут АЦП 8-ми канальное и для него задаётся конфигурация.

Если подключить к Вашему, думаю, всё должно заработать, но опрашивать будет только один канал на Вашей плате.

Разбирайтесь!

adas3022.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...