Перейти к содержанию
    

нашел конвертер VHDL -> Verilog

Но это бесплатный ОДНОСТОРОННИЙ транслятор, который надо еще собирать из исходников, в то время как на Лаогу в папке /X-HDL_V3.2.37-LND лежит коммерческий двухсторонний (почти классика жанра :rolleyes: )

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По поводу Лаогу см. здесь http://forum.electronix.ru/index.php?showtopic=61

А вообще, на прошлой неделе зарелизили новую версию 3.2.44, в выходные залью на FTP форума (если не забуду :lol:), благо размер маленький. Так что можешь пока получать "своего" для доступа к серверу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но это бесплатный ОДНОСТОРОННИЙ транслятор, который надо еще собирать из исходников, в то время как на Лаогу в папке /X-HDL_V3.2.37-LND лежит коммерческий двухсторонний (почти классика жанра :rolleyes: )

Да нету такой папки на Лаогу :-(((

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не знаю как ocean-logic а X-HDL - гамно редкосное..

скока не пытался им хоть сколько нибудь серьезные файлы конвертить результат один - не работоспособный результат..

 

или пробовал vhdl файлик простенький туда-сюда поганять..

результат как если бы промтом стихотворения Пушкина на английский и обратно

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть X-HDL 3.2.40 с лицензией ANY - мог бы выложить на FTP, да пароля не знаю. ;)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Люди не подскажете конвертер из AHDL

Xport называется, входит в комплект поставки XILINX ISE ( по крайней мере до версии 4). Но рассчитывать на то , что сложный проект, особенно с использованием альтеровских мегафункций функций я бы не стал.

С простыми проектами работает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Осмелюсь высказать свое мнение по-поводу подобных конверторов - отстой полный! Точно так-же, как и конвертор рус. <-> engl. (электронный переводчик то-бишь :) ). Так-же как и нормальный текст с одного языка на другой можно перевести только ручками и головой, и с компьютерного языка (VHDL, Verilog, C, Pascal ......) на другой можно только руками. Но перелопачивать с Verilog на VHDL я вообще не вижу смысла. Есть-же системы проектирования, поддерживающие одновременное существование в проекте модулей на разных языках (Mixed Mode). Правда я таких проектов не делал (не было необходимости).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня есть двухсторонний X-HDL 3.2.44 с кряком

могу залить на фтп

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Он уже есть там давно. Заливал собственноручно :P .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...