Перейти к содержанию
    

Поиск метастабильности

быстро проскакивая точку неустойчивого равновесия внутреннего состояния под действием внешней управляющей силы на входах.

Но все же проскакивая ее, эту точку, точнее область. И не за нулевое время, а за вполне определенное.

 

Нужно остановиться на определенном уровне абстракции.

Вот я как инженер, изредка разрабатывающий ИМС на транзисторном уровне, и остановился на таком уровне абстракции, как закольцованный CMOS-буфер из четырех транзисторов с аналоговым мультиплексором 2:1 на входе. И отчетливо вижу там жирный полюс. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но все же проскакивая ее, эту точку, точнее область. И не за нулевое время, а за вполне определенное.

 

Боюсь, что при таком рассмотрении нет никакой "точки равновесия." Потому как говорить про точку равновесия бессмыссленно без рассмотрения состояния входов: нет никаких выделенных состояний входов, лучших остальных, и изменение входных сигналов приводит к смещению этой точки равновесия. Это ведь нелинейная система, а не линеаризованная модедль для малого сигнала, в которой есть выделенная точка - нуль. То есть точка равновесия - это точка, в которой система остается долго при заданных входных сигналах. Если же входы ограничены допустимыми логическими сигналами, то никакой точки равновесия вблизи траектории просто нет. То есть шарик не оказывается в линеаризованной модели на вершине параболы в неустойчивом равновесии.

 

Представить это можно так. И нас два минимума с горкой между ними. Эта вся конструкция в какой-то момент наклоняется и шарик перекатывается под собственным весом из одного минимума в другой, потом конструкция возвращается в прежнее состояние с горкой посредине. Так вот, когда шарик перекатывается, на его траектории нет точек равновесия вплоть до конечного минимума. Если же наклонить конструкцию на слишком короткое время, может оказаться, что шарик не долетит до второго минимума и застрянет на вершине горки посредине, когда конструкция вернется в первоначальное положение. Это будет уже метастабильность.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да. В основном из-за паразитов - влияния самого перепада в динамике на схему. Плюс ассиметрия Vth у p- и n-канальников. Это как раз надо поухищряться в топологии, чтобы пороги выровнять, если цель такая есть. Но обычно ее нет, проще в .lib описать разные задержки для rise и для fall, если речь о разработке интегрального триггера.

Да ну, по переднему или заднему фронту работает триггер, пороги будут одинаковые. Передний/задний - лишний инвертор на клоке, а входная защелка таже самая. Задержки, конечно, разные.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Передний/задний - лишний инвертор на клоке, а входная защелка таже самая.

В либе, с которой я работаю (от 0.35-ой технологии) это не так. никаких лишних инверторов в схеме триггеров по спаду нету. А просто ключи меж каскадов наоборот скомутированы. И задержки у триггеров по спаду практически равны задержкам по фронту. А вот пороги реально различаются по результатам аналогового моделирования. Не верите? Могу спайс-нетлисты обоих выложить. Правда в приват.

 

А если сделать "колхозный" триггер, соединив триггер по фронту и инвертор по клоку, то, да, пороги будут те же (так как сам триггер по фронту), но лишь по напряжению те же, а за счет смещения (задержки) во времени уже другие во временной области.

 

Представить это можно так.

 

Да понятна вся эта демагогия. Опять терминологию из пустого в порожнее пинаем, я не хочу этим заниматься. По мне как - пока переходной процесс идет между порогов 0 и 1 - это метастабильность. Как свалилось в зону "ниже порога нуля" - так ноль. Как свалилось в зону "выше порога единицы" - так один. Может я не очень корректно выразился, что там меж зон состояние всегда квазистабильное - нет, не всегда. Оно там таким бывает при некоторых входных условиях. Но любой перепад, проходящий через зону между порогов 0 и 1 сопровождается метастабильным состоянием на какое-то время. Обычно очень короткое. Но редко, когда не повезло, и так входные условия сложились, может быть существенно длинное - и привет, сбой.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да понятна вся эта демагогия. Опять терминологию из пустого в порожнее пинаем, я не хочу этим заниматься. По мне как - пока переходной процесс идет между порогов 0 и 1 - это метастабильность. Как свалилось в зону "ниже порога нуля" - так ноль. Как свалилось в зону "выше порога единицы" - так один. Может я не очень корректно выразился, что там меж зон состояние всегда квазистабильное - нет, не всегда. Оно там таким бывает при некоторых входных условиях. Но любой перепад, проходящий через зону между порогов 0 и 1 сопровождается метастабильным состоянием на какое-то время. Обычно очень короткое. Но редко, когда не повезло, и так входные условия сложились, может быть существенно длинное - и привет, сбой.

 

Я бы сказал "состояние триггера нелогическое", или "нестабильное". Всё-таки термин "метастабильность" традиционно подчеркивает наличие точки неустойчивого равновесия, вблизи которой система может (теоретически) находиться неограниченно долго. Ну или хотя-бы нужно иметь неограниченную по времени неустойчивую траекторию, если рассуждать более общо о нестационарной системе.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну или хотя-бы нужно иметь неограниченную по времени неустойчивую траекторию

Ну в теории, она, возможно еще и есть. Если, конечно, не знать заранее о том, каково будет продолжние сигнала на входах в тот момент, когда триггер проходит "нелогическое состояние", и что оно будет такое, которое позволит выйти вовремя из него.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну в теории, она, возможно еще и есть. Если, конечно, не знать заранее о том, каково будет продолжние сигнала на входах в тот момент, когда триггер проходит "нелогическое состояние", и что оно будет такое, которое позволит выйти вовремя из него.

 

В теории такая траектория есть из любого состояния "если не знать, каково будет продолжение на входах". :biggrin:

Другое дело, что из окрестностей некоторых состояний есть неустойчивые продолжения для любого продолжения входов, сохраняющих гору между двумя минимумами. А из других окрестностей таких продолжений нет для допустимых логических сигналов на входах. Чем разработчики триггеров успешно пользуются. Так что вопрос, только в том, можно ли назвать такие промежуточные состояния "метастабильными"? В общем, мне самому до конца не понятно, как тут поступать терминологически правильнее. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще раз, для полного "просветления":

Преобразования Лапласа используется для решения линейных дифференциальных уравнений. Линейная система обладает, например, свойством пропорциональности: увеличился сигнал на входе в 2 раза - увеличился в 2 раза выходной сигнал. Как вы понимаете, цифровые устройства принципиально нелинейные, это их основополагающее свойство. Поэтому применять преобразование Лапласа, исследовать нули и полюсы, говорить об устойчивости для цифровых устройств не имеет смысла.

Можно, конечно, рассмотреть поведение логического элемента на неком малом участке передаточной функции (не переходной характеристики, как я говорил раньше, извиняюсь). Для регистра на 4-х транзисторах и аналогового мультиплексора, возможно, преобразование Лапласа что-то дает...

Но для изучения метастабильности нужно изучать не это. Не то, как "шарик скатывается с горки" под воздействием внешнего импульса, а когда он туда попадает, и когда "скатится". Для этого нужны совсем другие инструменты. А если шагнуть выше, то здесь работает теория вероятности и математическая статистика.

Кстати, в логике, например, ACH, на передаточной функции есть гистерезис, около 150 mV, и это, заметьте, не в триггерах Шмитта, а в обычных инверторах. А сама характеристика - резкая, хоть линейку прикладывай. А метастабильные состояния возникают, тем не менее.

Я, наверное, сказал уже раз пять одно и то же, только разными словами - дело не в передаточной функции, не в порогах. Нужно рассматривать процесс во времени. Как, я не знаю...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще раз, для полного "просветления":

 

Еще раз, для окончательного просветления - триггер, который представляет собой КМОП-буфер, состоящий из двух последовательно соединенных КМОП-инверторов, каждый из которых представляет собой вполне линейный инвертирующий усилитель, охваченный положительной обратной связью через аналоговый КМОП-ключ есть вполне подходящая система для полноценного анализа ее состояний в терминах преобразования Лапласа. Более того, другого более-менее корректного и удобного анализа переходных процессов в сей схеме нету. И для изучения метастабильности надо забыть, что схема цифровая. Так как это "нецифровое" состояние. Все остальные подходы поверхностны и не показывают сути процесса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще раз, для полного "просветления":

Преобразования Лапласа используется для решения линейных дифференциальных уравнений. Линейная система обладает, например, свойством пропорциональности: увеличился сигнал на входе в 2 раза - увеличился в 2 раза выходной сигнал. Как вы понимаете, цифровые устройства принципиально нелинейные, это их основополагающее свойство. Поэтому применять преобразование Лапласа, исследовать нули и полюсы, говорить об устойчивости для цифровых устройств не имеет смысла.

 

А теперь узнайте для себя новый очень полезный термин: линеаризация.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда появится результат ваших исследований, применимый к метастабильному состоянию триггера, дайте мне знать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда появится результат ваших исследований, применимый к метастабильному состоянию триггера, дайте мне знать.

Да он давно появился до нас. Почитайте статьи по проектированию триггеров на КМОП-технологиях и научные исследования по схемотехническим решениям по борьбе с ней, разумеется на транзисторном уровне, а не на Вашем - черный ящик с шариком и горкой.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да он давно появился до нас. Почитайте статьи по проектированию триггеров на КМОП-технологиях и научные исследования по схемотехническим решениям по борьбе с ней, разумеется на транзисторном уровне, а не на Вашем - черный ящик с шариком и горкой.

Если вы боретесь с метастабильным состоянием на транзисторном уровне - искренне желаю вам успеха. Верю, что здесь есть над чем поработать. На мой взгляд, больше на уровне технологии производства. Если у устройств, использующих ваши изделия, увеличится MTBF - здорово! Правда, вряд ли я воспользуюсь результатами ваших трудов, по понятным причинам. К счастью, мне нужно бороться на уровне HDL. Надеюсь, читающие эту ветку нашли для себя что-то полезное.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Правда, вряд ли я воспользуюсь результатами ваших трудов, по понятным причинам.

Понятных причин я тут мало вижу... Все начинают сначачала с обычных цифровых и смещанных схем на печатных платах, потом ПЛИС, потом ПЛИСов становится мало, переходят на ASIC, а там совсем не далеко и до модификации стандартных ячеек и аналогового проектирования.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В либе, с которой я работаю (от 0.35-ой технологии) это не так. никаких лишних инверторов в схеме триггеров по спаду нету. А просто ключи меж каскадов наоборот скомутированы.

Да, точно, как-то сразу не дошло.

 

И задержки у триггеров по спаду практически равны задержкам по фронту. А вот пороги реально различаются по результатам аналогового моделирования. Не верите? Могу спайс-нетлисты обоих выложить. Правда в приват.

 

А если сделать "колхозный" триггер, соединив триггер по фронту и инвертор по клоку, то, да, пороги будут те же (так как сам триггер по фронту), но лишь по напряжению те же, а за счет смещения (задержки) во времени уже другие во временной области.

Нетлисты не нужны, спасибо.

Пороги, я и имел ввиду, только по напряжению. По временной области это не важно. При метастабильности совпадение фронтов случится все равно с какой-то вероятностью, и от фронта триггера это не зависит.

А от "колхозности" триггера пороги по напряжению зависеть не должны. Если конечно входные каскады (размеры транзисторов) триггеров по фронту/спаду совпадают. Подключение клока здесь не важно, так как входная защелка в момент захвата все равно закрывается. Т.е. и влияние фронта клока через затворные емкости одинаковое.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...