Перейти к содержанию
    

Поиск метастабильности

"Опубликованные случаи" как раз соответствуют равномерному распределению по напряжениям на входе в окне метастабильности.

Скажу так - нигде конкретного упоминания о равномерном распределении входного сигнала по уровням я не встречал. Это догадки. Однако графики зависимости вероятности от продолжительности состояния - сколько угодно. Остается их прикинуть к моей картинке, чтобы все прояснить. Но, сорри, сегодня я это точно уже делать не буду.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажу так - нигде конкретного упоминания о равномерном распределении входного сигнала по уровням я не встречал. Это догадки. Однако графики зависимости вероятности от продолжительности состояния - сколько угодно. Остается их прикинуть к моей картинке, чтобы все прояснить. Но, сорри, сегодня я это точно уже делать не буду.

 

В статьях упоминалось про окно метастабильности для обычной логики в единицы пикосекунд. То есть гораздо короче длительности фронта сигнала на входе. Который между логическими уровнями можно считать равномерно нарастающим. И случающимся независимо от клока триггера. Из этого однозначно следует равномерность распределения входа по напряжениям в окне метастабильности по напряжениям.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В статьях упоминалось про окно метастабильности для обычной логики в единицы пикосекунд. То есть гораздо короче длительности фронта сигнала на входе.

 

Эт у кого как... У меня вот вокруг моих задач совсем другие цифры, при самой обычной логике навроде К561. Там эти окна сравнимы с длительностями фронтов, и даже шире, и я бы не стал так вот однозначно делать выводы о правомерности равномерного распределения по оси Y. Это если от идеальностей вернуться в реальный мир. Все таки преобразоваться от равномерной оси Х после анализа результата эксперимента как-то проще, по крайней мере может не проще, но правомернее. Так как собираю я (да и все авторы) все таки именно зависимость вероятности от длительности. Но повторю, сейчас я не готов сделать эту операцию - совместить экспоненты с экспериментальной зависимостью вероятности от длительности. Если будет время и желание, сделаю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

К вопросу о метастабильном состоянии и плотности распределения вероятности его возникновения.

Я изобразил картинку, на которой показано прохождение сигналов через цепочку из 3-х триггеров, в несколько гипертрофированном виде. Слева - входной сигнал, распределенный равномерно, справа - он же, выходной.

По фронту тактового сигнала первый триггер начинает переключаться в низкое или высокое состояние, в зависимости от уровня входного сигнала. В большинстве случаев это происходит достаточно быстро, и ко второму фронту синхроимпульса первый триггер находится в устойчивом состоянии. Второй и следующие триггеры только подтверждают это состояние.

Если бы входной сигнал постоянно переключался из высокого в низкое состояние и обратно, то для сигналов с "логическими" уровнями выход первого триггера переключался бы в полном диапазоне напряжений от низкого до высокого. А так как пороговая область переключения триггера (второго), как и любой другой логики, по входу значительно меньше выходного размаха, этот триггер будет переключаться с еще большим "энтузиазмом". Потому что пороговое состояние сигнал на его входе пробегает быстро. Следующий триггер переключится еще быстрее, и так до своего предельного быстродействия. 25 лет назад это называлось "нормализация фронтов". (На картинке это не показано, просто вспомнилось).

Но когда входной сигнал находится в области порога переключения ("горка"), триггер "задумывается" надолго. В идеальных условиях на самой вершине "горки" - навсегда. Вывести его из этого состояния может любой толчок - шум, бросок по питанию... Когда этот толчок произойдет - неизвестно, а до того времени триггер находится в метастабильном состоянии.

Очевидно, что если сигнал попал на склон "горки", ему проще выбрать направление переключения. Но и такое переключение затягивается (такие сигналы красненьким показаны). Например, после первого триггера 5 сигналов не достигли логического уровня к моменту прихода второго фронта синхроимпульса. Но для второго триггера уже большая часть сигналов на входе находятся вне пороговой области. Поэтому после второго триггера осталось 3 нелогических сигнала. А после третьего триггера - все, кроме одного, находятся в устойчивом логическом состоянии.

Чтобы говорить о вероятности возникновения метастабильного состояния, нужно знать форму этой самой "горки", а также поведение сигнала в зависимости от места, на которое он попал. Очевидно, что эти закономерности нелинейные.

В зависимости от количества триггеров, думаю, вероятности метастабильного состояния нужно перемножать. Т.е. условно, для одного триггера 0.01, для двух 0.0001, для трех 0.000001...

Зависимость метастабильного состояния от периода синхроимпульсов тоже, естественно, нелинейная. Похожа на спадающую экспоненту. Чем больше период, тем менее вероятно сохранение метастабильного состояния. Это можно увидеть и по списку цифр, с которых вся эта дискуссия началась.

А еще я могу сделать вывод, что использование нескольких триггеров дает намного больший эффект для уменьшения метастабильного состояния, чем увеличение периода синхроимпульсов.

P.S. После того, как все это написал, понял, что дал маху. "Горка"-то должна быть по времени, в районе фронта синхроимпульса, а не по напряжению! Но, предполагая линейное изменение входного сигнала во времени, "горку" по времени можно превратить в "горку" по напряжению. Не исключаю, что именно пороговая характеристика по напряжению определяет метастабильное состояние триггера.

post-10362-1268256772_thumb.jpg

Изменено пользователем ViKo

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не исключаю, что именно пороговая характеристика по напряжению определяет метастабильное состояние триггера.

не пороговая характеристика, а нестабильный[е] полюс[ы] его характеристики как аналогового блока (на s-плоскости расположенные справа от оси ординат). Отсюда, кстати, и экспонента, в общем случае докучи еще и комплексная. Некоторые триггеры из-за нее умеют погенерировать нечто затухающе-синусоподобное в этом процессе....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не пороговая характеристика, а нестабильный[е] полюс[ы] его характеристики как аналогового блока (на s-плоскости расположенные справа от оси ординат). Отсюда, кстати, и экспонента, в общем случае докучи еще и комплексная. Некоторые триггеры из-за нее умеют погенерировать нечто затухающе-синусоподобное в этом процессе....

Экспонента-то - затухающая, так что со стабильностью схемы все в порядке. Звенеть выход может из-за внешних цепей (емкостей), смещающих эти полюсы.

Логичнее было бы назвать не "пороговая" характеристика, а "переходная", от входа к выходу. Причем характеристика не линейная (как в аналоговых усилителях) и не вертикальная прямая (как должно быть в идеале), а нечто S - образное (только монотонное). И беда возникает, когда входной сигнал попадает на середину этой кривой. К счастью, в большинстве случаев входной сигнал не задерживается в этой точке, растет или падает. Обычные логические элементы продолжают переключаться вслед за входным сигналом. Но для триггера - приходится "фиксировать" именно это "неопределенное" состояние. Вот и не знает, "куды ж хрыстьянину податься".

Изменено пользователем ViKo

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Экспонента-то - затухающая, так что со стабильностью схемы все в порядке.

Ха-ха. Советую повторить, что такое стабильность. Была бы схема стабильная, у нее бы не было понятия метастабильности в принципе и вообще. И она триггером не могла бы быть. Метастабильность - это когда нестабильная схема, которой является триггер по определению, пытается "прикинуться" стабильной на какое-то время во время переходного процесса, никак не "улетая" в какую либо крайность.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Метастабильность - это когда нестабильная схема, которой является триггер по определению, пытается "прикинуться" стабильной на какое-то время во время переходного процесса, никак не "улетая" в какую либо крайность.

 

Улетая в крайность гораздо дольше, чем обычно.

Скажите, а что, действительно для переднего и заднего фронта клока у триггеров по одной технологии могут быть разные пороги по входу?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Метастабильность и стабильность - понятия разные. О стабильности (устойчивости) устройств, с полюсами и т.д. нужно говорить не в этой теме. Уверяю вас, с устойчивостью у триггеров и остальной цифровой техники все в порядке!

Метастабильность - именно некое состояние, длящаеся достаточно длительно, а потом прекращающееся. Вы, SM, смешиваете эти понятия. Где-то раньше вы упоминали, что любой триггер в момент переключения находится в метастабильном состоянии - в корне неверно! Прислушайтесь к словам Oldring'а.

 

2 Oldring

Триггеры обычно работают по чему-то одному - фронту или срезу. Чтобы триггер срабатывал по срезу, нужно подать инвертированные такты. Естественно, на порогах срабатывания это никак не скажется. Они - одни и те же.

Изменено пользователем ViKo

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Улетая в крайность гораздо дольше, чем обычно.

Ну это да.

Скажите, а что, действительно для переднего и заднего фронта клока у триггеров по одной технологии могут быть разные пороги по входу?

Да. В основном из-за паразитов - влияния самого перепада в динамике на схему. Плюс ассиметрия Vth у p- и n-канальников. Это как раз надо поухищряться в топологии, чтобы пороги выровнять, если цель такая есть. Но обычно ее нет, проще в .lib описать разные задержки для rise и для fall, если речь о разработке интегрального триггера.

 

Вы, SM, смешиваете эти понятия. Где-то раньше вы упоминали, что любой триггер в момент переключения находится в метастабильном состоянии - в корне неверно!

Ничего я не смешиваю, и это верно. И я от своих слов не отказываюсь - метастабильность это нахождение схемы какое-то время в линейном квазистабильном режиме, в котором она какое то время бывает всегда при любом перепаде.

 

О стабильности (устойчивости) устройств, с полюсами и т.д. нужно говорить не в этой теме.

Именно в этой. Так как триггер становится триггером именно благодаря полюсу в правой части s-плоскости, и именно благодаря этому же полюсу наблюдается достаточно продолжительная метастабильность, и именно им определяются ее характеристики.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ничего я не смешиваю, и это верно. И я от своих слов не отказываюсь - метастабильность это нахождение схемы какое-то время в линейном квазистабильном режиме, в котором она какое то время бывает всегда при любом перепаде.

 

Именно в этой. Так как триггер становится триггером именно благодаря полюсу в правой части s-плоскости, и именно благодаря этому же полюсу наблюдается достаточно продолжительная метастабильность, и именно им определяются ее характеристики.

Чтобы вас переубедить, нужно копнуть слишком глубоко. На это у меня нет ни времени, ни желания. Возможно, найдется другой энтузиаст...

Вот статья с сайта Altera. Там про полюса ничего не говорится.

http://www.altera.com/literature/wp/wp-010...tastability.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ничего я не смешиваю, и это верно. И я от своих слов не отказываюсь - метастабильность это нахождение схемы какое-то время в линейном квазистабильном режиме, в котором она какое то время бывает всегда при любом перепаде.

 

Сомневаюсь в корректности этого утверждения. При подаче на вход триггера допустимых логических сигналов триггер не оказывается в квазистабильном режиме, быстро проскакивая точку неустойчивого равновесия внутреннего состояния под действием внешней управляющей силы на входах.

 

Вот статья с сайта Altera. Там про полюса ничего не говорится.

http://www.altera.com/literature/wp/wp-010...tastability.pdf

 

Подразумевается. Линеаризуйте динамику шарика на правой картинке рисунка № 1 и увидите неустойчивый полюс.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подразумевается. Линеаризуйте динамику шарика на правой картинке рисунка № 1 и увидите неустойчивый полюс.

Так можно в любом явлении полюс найти. Вот, кончились деньги у меня в кошельке - чем ни полюс? :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так можно в любом явлении полюс найти. Вот, кончились деньги у меня в кошельке - чем ни полюс? :)

 

Это явление традиционно обозначается иным термином, не имеющим никакого отношения к преобразованию Лапласа.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это явление традиционно обозначается иным термином, не имеющим никакого отношения к преобразованию Лапласа.

Верно, это не полюс. Это... нуль! :) (Если модератор уничтожит, я... не хотел... не смог удержаться)

Преобразование Лапласа позволяет решать дифференциальные уравнения. Шарик на горке - почему бы нет? Деньги в кошельке - почему бы нет? В конце концов можно добраться до движения электронов, которые тоже описываются какими-то уравнениями. Нужно остановиться на определенном уровне абстракции. Инженеру для понимания метастабильного состояния триггера достаточно знать, что оно было, есть, и будет... есть входные сигналы :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...