Перейти к содержанию
    

Вопрос новичка по Xilinx/VHDL

Добрый день! Начинаю осваивать vhdl... Создал простой проект в ISE 9.2 но после генерации файла и прошивки его в Spartan 3E sample pack board ничего не работает.. какой то сигнал на выходе есть, но это явно не то что нужно... При этом синтез схемы показывает правельные результаты... Сам не могу разобраться в чём проблема... :05:

 

entity main is
    Port ( clk : in  STD_LOGIC;
           cl1 : out  STD_LOGIC;
           cl2 : out  STD_LOGIC;
           flm : out  STD_LOGIC;
           d0 : out  STD_LOGIC;
           d1 : out  STD_LOGIC;
           d2 : out  STD_LOGIC;
           d3 : out  STD_LOGIC);
end main;

architecture Behavioral of main is

begin

main : process(clk)

variable x_counter : integer :=0;
variable flm_counter : integer :=0;
variable cl2_counter : integer :=0;
variable cl1_counter : integer :=0;
variable clk_counter : integer :=0;
variable cl2_val : std_logic :='0';
variable cl1_val : std_logic :='0';

begin
        if (clk='1') then
        
            clk_counter := clk_counter + 1;
        
            if (clk_counter = 1) then                                        --cl2 rise
            
                cl2_val := not cl2_val;
                cl2 <= cl2_val;
                
                if (cl2_val='1') then                                        --data
            
                    d0 <= '0';
                    d1 <= '0';
                    d2 <= '1'; 
                    d3 <= '0';
                    
                    cl2_counter := cl2_counter + 1;
                    
                end if;
            
            elsif ((clk_counter = 2) AND (cl2_val  = '1')) then     --cl1
                    
                    cl1_val := not cl1_val;
                    cl1 <= cl1_val; 
                    
            elsif (clk_counter = 5) then                                        --cl2 fall
                
                    --cl2_val := '0';
                    --cl2 <= cl2_val;
                    
                    clk_counter := 0;
                    
            end if;
                
            
                                
        end if;
        
                        
end process main;


end Behavioral;

 

Буду благодарен за любые подсказки! :) При этом схема собранная на Schematic'е прекрасно работает...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

для начала, пожалуй, стоит заменить в этом месте:

begin
        if (clk='1') then 
        
            clk_counter := clk_counter + 1;

 

на такой вариант:

begin
        if ( clk'event  and clk='1') 
        
            clk_counter := clk_counter + 1;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А ножки проекта правильно развели на ножки ПЛИС макетной платы? Т.н. ucf файлец все там правильно и раскоментировано?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А Вы напишите, что хотели сделать... ну или тот самый схематик приложите, что ли... А то непонятно, что же Вы хотели сделать этим кодом.

 

Вот, например, это место очень подозрительно выглядит:

            clk_counter := clk_counter + 1;
        
            if (clk_counter = 1) then                                        --cl2 rise
            
                cl2_val := not cl2_val;
                cl2 <= cl2_val;
                
                if (cl2_val='1') then
            
                    d0 <= '0';
                    d1 <= '0';
                    d2 <= '1';
                    d3 <= '0';
                    
                    cl2_counter := cl2_counter + 1;
                    
                end if;

Переменная clk_counter "мгновенно" перейдет в состояние '+1' (= 1), и if обязательно сработает... Затем cl2_val "мгновенно" поменяет свое состояние с '0' на '1' и следующий if сработает.... А т.к. сигналам D(3:0) больше нигде не присваиваются значения, то они ВСЕГДА равны D(3:0) = 0100. А зачем тогда весь этот огород городить ??? Даже XST ругается на это:

INFO:Xst:2679 - Register <d0> in unit <main> has a constant value of 0 during circuit operation. The register is replaced by logic.

 

Прочитайте внимательно про разницу между присвоением переменных и сигналов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это ещё не доконца дописанная версия - поэтому данные и не меняются. Вообще хочу написать контроллер лсд панельки... clk - тики системы, clk2 - clk/2, clk1 - 1,5clk2 и задержан относительно clk2... Про переменные/сигналы в vhdl знаю. В данном примере важна не логика выполнения а что совсем ничего не генерится... Я хочу понять - почему совсем ничего не генерится...

 

А ножки проекта правильно развели на ножки ПЛИС макетной платы? Т.н. ucf файлец все там правильно и раскоментировано?

Ножки правильно разведены... Завтра с работы кину ucf файл...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Gas Wilson Вам имеет смысл посмотреть как подобные вещи делают другие и много вопросов уйдут сами сабой.Например http://opencores.org/projects.cgi/web/lcd/overview

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще хочу написать контроллер лсд панельки... clk - тики системы, clk2 - clk/2, clk1 - 1,5clk2 и задержан относительно clk2...
Лучше приложите временую диаграмку, а то не очень понятно про задержку.

 

Про переменные/сигналы в vhdl знаю.
Искрене надеюсь, что так. Но у вас использованы переменные integer - счетчики для них синтезируются 32 разрядные (!!!) и если повезет, как в случае с clk_counter, то после анализа возможных состояний обрезаются до 2 битового счетчика. Лучше используйте std_logic_vector для таких переменных/сигналов, тогда вы сможет правильно задать разрядность вашего счетчика и не будете заставлять синтезатор стадать маразмом. (обязательно ознакомтесь с документом XST.DOC, там расписано как лучше описывать разные синтезируемые конструкции, обратите внимание на то, что в примерах использование integer старательно сведено к необходимому минимому, заменяя его std_logic_vector'ом дабы синтезатору легче было работать - абстракция это хорошо... но Вы же не Visual Basic осваиваете; к томуже, если Вы научитесь видет за языковым описанием схему на примитивах - то сможете проектировать компактные высокоскоростные решения)

 

В данном примере важна не логика выполнения а что совсем ничего не генерится... Я хочу понять - почему совсем ничего не генерится...
По поводу логики сильное утвердждение получилось - ценю шутку. Поглядите "View RTL Scematic" может появятся идеи как ненадо описявать логику ;). Также стоит поглядеть "View/Edit Routed Design (FPGA Editor)" - так сказать, увидете результат своих описаний.

С логикой работы в вашей схеме проблема из-за того, что разрешений счете счетчиков "cl1_val" и "cl2_val" (название даны по FPGA_editor'у - вот сделали бы Вы signal и совпадали бы названия линий и языкового описания ;) ) берется с компоратора, сравнивающего выход 32 разрядных счеткиков с чем-то... ну что написали (абстракцию) - то и получили.

 

А Вы проводили PostPar симуляцию ??? Еще ни разу её результаты у меня не расходились с практикой (ну только если ноги поперепутал в UCF).

 

Поясните, пожалуйса, какие сигналы выведены на осиллограме - уж больно странно выглядит верхний - ни разу не удавалось видеть на выходах ПЛИС трехуровневые сигналы (ну только если она не конфликтовала с другой микросхемой или на конце метровой несогласованной линии). Может там высокочестотное переключение состояний ? (мачштабчик-то у Вас уж больно сжатый, растяните его до 1-5 ns на клетку)

Укажите частоту clk - без нее осциллограма не понятна.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Лучше приложите временую диаграмку, а то не очень понятно про задержку.

i-122.jpg

 

АААААААААААА ну вот что я сделал - не понятно, но всё заработало...

 

TEK0006.JPG

Изменено пользователем Gas Wilson

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Счетчики считают в + бесконечность?
Ну какая + бесконечность в VHDL... в нем у каждого типа есть свой предел (для integer это всего лишь 2^32-1), о чем и писалось ранее (про 32 битный счетчик). А счетчик clk_counter - считает только до 4 (при 5 так сказать "сброс" в 0).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...