Перейти к содержанию
    

Экскурс в редактор SlickEdit

Я так понимаю тулз/опшионз/файл экстеншн сетап/синтакс идент и таб. Номера сам бы хотел проставить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я так понимаю тулз/опшионз/файл экстеншн сетап/синтакс идент и таб. Номера сам бы хотел проставить.

 

поставте:

Tools->Options->File Extension Setup...->General

там поставте галочку - Display line numbers

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И еще - можно ли вывести в редакторе номера строк? Пока писал, заглянул в хелп - там есть что-то похожее в описаниях командной строки, но не совсем понятно. Буду разбираться.

локально для файла View->Line Numbers

а глобально как сказал Faradey

Изменено пользователем megajohn

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Только начинаю осваивать Slickedit, посему вопрос может быть глупым...=)

 

На текущем этапе освоения, есть такая проблема, например я хочу посмотреть как обьявлена стандартная СИ функция memcpy(), для этого я через Tools -> Tag Files -> Add Tree присоединяю директорию C:\WinAVR\avr\include\ . И у меня все отлично работает, навожу на функцию указатель мышки , далее CTRL + . и оно показывает как объявлена функция. Но после включения у меня появляется куча заголовочных файлов в окне Projects.

Собственно вопрос, как сделать так чтоб оболочка "знала" о стандартных функциях объявленных в заголовочных файлах, но сами эти заголовочные файлы не помещала бы в окно Projects???А то оно как-то не удобно, когда у тебя куча хидеров перед глазами, но и не всегда удобно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Народ, всех с наступающим Новым Годом :08: :beer: :santa2: Вопрос: а кто-нибудь до Slick-а использовал UltraEdit ? Какие преимущества/недостатки того и другого ? А то сижу на Ультре, так может стоит на Слик перейти ? Или Слик - это те же яйца ? И это дело вкуса и привычки ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хороший обзор - нашел для себя пару незнакомых фишек.

 

Еще из часто используемых фишек - Tools->Quick refactoring->Rename.

Корректно переименовывает функции (да и переменные) одним махом - включая объявление,

определение и все вызовы.

 

Если при подключении хедеров писать #include ". (с точкой), то вылезет список доступных

хедеров проекта. Перейти к подключенному хедеру можно нажатием Alt-1.

 

С компиляторами общаюсь через makefile, так что независимо от железа команды компиляции

в любом проекте имеют вид make all, make clean all и т.д., с передачей названия текущей

конфигурации через %b.

 

Новые файлы в проекте создаю через свои шаблоны - одним нажатием из Add new item

создается пара .c/.h со привычной мне шапкой, #ifndef __имя модуля__, подключенными

хедерами и т.д.

 

Собираюсь помучать доступ из вислика к SVN и его встроенный GDB-клиент.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Собственно вопрос, как сделать так чтоб оболочка "знала" о стандартных функциях объявленных в заголовочных файлах, но сами эти заголовочные файлы не помещала бы в окно Projects???А то оно как-то не удобно, когда у тебя куча хидеров перед глазами, но и не всегда удобно.

Tools\tag files, там создаете новый таг-файл и включаете в него все нужные вам хидеры.

 

 

Вопрос: а кто-нибудь до Slick-а использовал UltraEdit ? Какие преимущества/недостатки того и другого ? А то сижу на Ультре, так может стоит на Слик перейти ? Или Слик - это те же яйца ? И это дело вкуса и привычки ?

Если УльтраЕдит = UEStudio, то я использовал. Основная проблема UEStudio для меня - недоделанное "таггирование", что выливается в отсутствие автодополнения для членов структур\классов\пространств имен, в отсуствие подсказки по аргументам функций и т.д. В Слике с этим веселее. Однако для получения списка тегов он походу использует какую-то внутреннюю тулзовину, а не компилятор С++, поэтому периодически бывают проблемы (особенно для глобальных указателей на класс\структуру, при использовании конструкций вида

#ifdef  A
TClass1 a;
#else
TClass2 a;
#endif

). Другая проблема слика - большие сложности при попытке его купить через бухгалтерию :). Так что счас посматриваю в сторону Eclipse.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С компиляторами общаюсь через makefile, так что независимо от железа команды компиляции

в любом проекте имеют вид make all, make clean all и т.д., с передачей названия текущей

конфигурации через %b.

 

Собираюсь помучать доступ из вислика к SVN и его встроенный GDB-клиент.

 

А можно взглянуть на ваш makefile?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какой из ?

 

Пусть будет для IAR и под AVR - это актуально :-)

Это старый вариант с недоработками, например, нечувствительностью к изменениям

в .h-файлах. Доработанную версию могу дать позже, если надо.

makefile.txt

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пытался разобраться сам, да видимо без посторонней помощи не осилю. Что бы толкового почитать, про создание make файлов?

Понимаю, что для каждого файла проекта нужно вызвать компилер с заданными параметрами, потом на получившиеся объектники натравить линкер, но не понимаю как это реализовать в виде make файла.

Буду рад любому толчку в нужном направлении :)

 

P.S пользую IAR Arm, хотя это не принципиально, принцип ведь один и тот же...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что бы толкового почитать, про создание make файлов?

http://linfoline.homedns.org/gnu-make-ru/gnu-make-ru.html

http://www.linux.org.ru/books/GNU/Gmake.htm

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно ли СликЕдит настроить так, чтобы при сохранении файла он удалял пробелы в конце строк?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно ли СликЕдит настроить так, чтобы при сохранении файла он удалял пробелы в конце строк?

strip trailing spaces

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа а никто не знает как можно перенести настройки профиля слика при смене его версии ?

 

Интересуют не настройки цвета, алиасов и т.д. А настройки горячих клавиш.

 

А то поставил 13 ый вместо 12.0.3 и надо по новой все хот кии забивать %(. И это не смотря на то что при установке слик копировал настройки 12 го.

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...