Перейти к содержанию
    

Пополнил содержание своей библиотеки www.naliwator.narod.ru

главой 13. Quick Design Debugging Using SignalProbe

"Быстрая отладка проекта с помощью SignalProbe"

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

 

"Отладка в системе с использованием встроенного логического анализатора SignalTap II"

Это мой перевод 14 главы 4 раздела 3 тома Quartus II Handbook.

Volume 3: Verification.

14. Design Debugging Using the SignalTap II Embedded Logic Analyzer

Этот логический анализатор помогает отлаживать проект FPGA

путём пробирования состояний внутренних сигналов без использования внешней обвязки.

Все захваченные сигналы данных легко сохраняются в памяти чипа,

пока вы не будете готовы для чтения и анализа данных.

Обладая достаточными ресурсами внутренней памяти и логических элементов FPGA,

вы достаточно просто сможете построить любую схему события (или последовательности событий),

по которому данные будут защёлкиваться в памяти встроенного анализатора.

SignalTap II - это наиболее экономичный способ получения многофункционального

логического анализатора для быстрой и эффективной отладки

проектов любой сложности.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

 

"Отладка в системе с использованием внешних логических анализаторов"

Это мой перевод 15 главы 4 раздела 3 тома Quartus II Handbook.

Volume 3: Verification.

15. In-System Debugging Using External Logic Analyzers

Это средство отладки имеет ряд отличий от встроенного логического анализатора SignalTap II.

Внешний логический анализатор использует минимум логики чипа FPGA для реализации

управляемых мультиплексоров, на которые поступают пробники сигналов.

Он использует внешний тактовый сигнал, позволяя асинхронно контролировать пробники.

Глубина захвата данных не зависит от внутренних ресурсов FPGA (в отличии от SignalTap II).

Однако скорость получения данных меньше, чем в SignalTap II.

И для размещения выводов анализатора приходиться резервировать внешние выводы FPGA,

тогда как SignalTap II использует для отладки стандартные выводы JTAG.

В этой главе содержится полная информация по элементов LAI и подводу к ним пробников.

А также описано использование LAI в инкрементной компиляции Quartus II.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

 

Обновление памяти и констант в системе

Это мой перевод 16 главы 4 раздела 3 тома Quartus II Handbook.

Volume 3: Verification.

16. In-System Updating of Memory and Constants

Это прекрасное средство отладки.

С его помощью можно просматривать данные во внутренней памяти Altera,

а также в реальном времени изменять их.

Удобное средство для обновления программы встроенного процессора,

а также для подбора коэффициентов цифрового фильтра.

 

 

Отладка в системе с помощью исходников и пробников в системе

Это мой перевод 17 главы 4 раздела 3 тома Quartus II Handbook.

Volume 3: Verification.

17. Design Debugging Using In-System Sources and Probes

Данное средство дополняет использование SignalTap II и SignalProbe.

С его помощью вы можете создать исходные стимулы и проследить реакцию

на их воздействие. Таким образом, оно позволяет создавать примитивное

воздействие, например, нажатие на виртуальную кнопку или срабатывание виртуального датчика, с тем,

чтобы уже в более мощных средствах отладки проследить реакцию на это воздействие.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

люди добрые!

подскажите как пользоваться функцией Code Coverage (стоит ModelSim SE PLUS 6.5b)? или хотя бы где посмотреть работает ли она, а то я вычитал на сайте mentor'а, что для нее нужна отдельная лицензия..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

люди добрые!

подскажите как пользоваться функцией Code Coverage (стоит ModelSim SE PLUS 6.5b)? или хотя бы где посмотреть работает ли она, а то я вычитал на сайте mentor'а, что для нее нужна отдельная лицензия..

туториал, который идет в комплекте почитайте

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 des00:

а как посмотреть есть ли лицензия нужная?

Изменено пользователем time0ut

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

 

Учебное пособие по ModelSim SE

Это самоучитель ModelSim® SE Tutorial Software Version 6.6b в переводе на русский.

Вводный курс очень полезен начинающим разработчикам.

Очень доходчиво, наглядно объяснены принципы работы симулятора.

Учебный курс разбит на 15 занятий, каждое из который начинается

с создания проекта testbench (по тексту - тестовый стенд).

Обилие поясняющих рисунков не даст вам сбиться с правильного пути.

 

P.S. Сделал в html. :smile3046:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Учебное пособие по ModelSim SE

Это самоучитель ModelSim® SE Tutorial Software Version 6.6b в переводе на русский.

Вы проделали большую работу. А как вы смотрите на то, чтобы разместить этот материал в Викиучебник? Было бы неплохое начало для вот этого проекта. Так как основной текст у вас уже подготовлен, то транслировать его в wiki-разметку нет никаких проблем. Вот только с картинками придется повозиться, чтобы их все загрузить на вики. Может и помощники найдутся в этом деле...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

 

Симуляция проекта с помощью инструментов EDA

Это мой перевод 1 главы 1 раздела 3 тома Quartus II Handbook.

Volume 3: Verification.

1. Simulating Designs with EDA Tools

После того, как фирма Altera прекратила поддержку собственного встроенного симулятора,

разработчики были вынуждены выбрать себе альтернативный симулятор:

ModelSim, QuestaSim, Active-HDL, Riviera-PRO, VCS, VCS MX или NCSim.

В этой главе рассказывается о настройках программы Quartus II в разделе симуляции,

о том, какие библиотеки симуляции потребуются для выполнения функциональной симуляции,

временной на уровне вентилей и симуляции списка соединений пост-синтез.

А также о настройках и использовании средства NativeLink, которое

позволяет автоматизировать процесс запуска симулятора в оболочке Quartus II.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

 

Поддержка Mentor Graphics ModelSim/QuestaSim

Это мой перевод 2 главы 1 раздела 3 тома Quartus II Handbook.

Volume 3: Verification.

2. Mentor Graphics ModelSim/QuestaSim Support

В этой главе содержатся подробные инструкции, как симулировать ваш проект в программах ModelSim-Altera®,

Mentor Graphics® ModelSim и Mentor Graphics QuestaSim.

Рассматривается три способа симуляции: функциональная, пост-синтез и временная на уровне вентилей.

Подробно рассмотрены различные способы симуляции с чипами,

имеющими встроенные передатчики. Изложены инструкции по выполнению симуляции:

в графической оболочке, из командной строки и с помощью Tcl скриптов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сделал сайт по ModelSim - www.modelsim.ru, где объединил инфу, представленную в этой теме и еще кое-что дополнительно. Получилось симпотишнее, чем systemverilog.ru.

В основном сайт содержит полезные ссылки. Выкладывать инфу с других сайтов, думаю, смысла нет. Да и поисковики на такое плохо реагируют.

Пока не все разделы написал, т.ч. несколько ссылок не работает. Если кто-то располагает еще какой-нибудь информацией, то, пожалуйста, поделитесь.

 

P.S. ModelSim пользуется повышенным интересом у разработчиков (у студентов в особенности), поэтому сайт писал для того, чтобы привлечь дополнительных посетителей на systemverilog.ru. Ну и плюс ко всему мой хостинг предоставляет пространство для размещения 2-х сайтов, так почему бы не забить это пространство весьма небесполезным сайтом.

 

Регистрация на сайте и клики по рекламке всегда приветствуются :rolleyes:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сделал сайт по ModelSim - www.modelsim.ru
Гм. А Ментор не сочтёт вас киберсквоттером? Будете продавать домен -- просите побольше. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Гм. А Ментор не сочтёт вас киберсквоттером? Будете продавать домен -- просите побольше. :)

Да врядли. Вот если б я там рекламировал что-нить отдаленное от ModelSim - тогда да. Mentor и Megratec обидеться не должны - ссылки на их сайты представлены не один раз. Может они когда-нить оценят работу :)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...