Перейти к содержанию
    

Это вот, а в квартусе можно тестбенчами пользоваться?

Я сделал "module countbit" топом (SV2005), а "module test" обозначил как тестбенч и подключил к проекту. Я подумал, что после симуляции появятся расписанные в тестбенче сигналы, а получилась ерунда какая-то )))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Некоторые разработчики работают с командной строке и в Xilinx EDK и в Quartus

 

Посему, хотелось бы задать вопрос опытным по стилю работы в Квартусе:

1. Используете только GUI для создания проекта, компиляции и пр

2. Используете только командную строку (сами набиваете файл проекта и тд)

3. Смешаный GUI + командная строка.

4. Что у Вас идет в архив проекта (ну hdl сорцы ясно дело) и что мусор?

 

Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 torik

приз - в студию
:laughing: или это был не вопрос а так - крик души ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Iptash, спасибо. Как раз то что надо для понимания связи тестбенча и собственно описания девайса. Вот только моделсим 6.4а у меня тупит, похоже что он просто не работает, качаю 9.1...

 

А дальше буду вопросы как это в моделсиме запустить.

У нас на FTP я скачивал MS 6.5b, работает нормально. Вообщем запускаешь модельсим, если он открывает последний проект, то

закрываешь, например правым щелчком по имени проекта и Close. Далее File/New/Project... и создаешь проект т.е. даешь имя,

место расположения, далее добавляешь файл, все. В окне проекта появляется твой файл. Вот его сначала компилируешь, если

все нормально (в низу в окне Transcript все сообщения и ввод командной строки и т.д.) то делаешь симуляцию, появляется

окно Start simulation, в нем открываешь папку Work в нем находится два модуля Reg и test(если симулируешь файл который я привел) вот его(test) запускаешь т.е. ставишь на него курсор и делаешь OK, но сначала нажми кнопку Optimization Options... в нем выбери Apply full visibility to all modules. Все, должны загрузится все переменные, входа и выхода. Щелкни два раза по файлу

Reg.sv в окне проекта, он откроется в текстовом редакторе. В окно Wave можешь добавить входа, выхода, пременные для просмотра. Все, можешь запускать Run или пошагово.

В квартусе тестбенч не работает, и не мешает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я все это время качаю новый квартус 9.1, вместе с моделсимом ase, скорость низкая...

 

Iptash, спасибо. Но вопросы еще будут, когда все скачаю. А имеет смысл ставить моделсим SE вместо ASE?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня и то и др. стоит, они не мешают друг другу. В ASE пути к Альтеровским функциям уже установлены, в SE нужно настраивать если

с функциями работаешь, но это не сложно. Мне покозалось, что SE более полноценнее.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставил ASE 6.5b. Уважаемый Iptash, спасибо. Теперь уже буду изучать дальше.

 

Вот только не получилось запустить симуляцию прямо из квартуса.

 

И еще - у меня получился моделсим стартер едишн. Я запускал батник и создал переменную среды (только по своему пути), по инструкции с фтп. Как его подлечить по настоящему?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А какой пароль на архиве?, я что то забыл и как устанавливал и какой пароль на архив.

 

Пароль перед глазами и не вижу :). Так модель сим запускается или ругается?, если запускается, значит все нормально.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да запускается и работает...

Но т.к. он стартер едишн, не будет ли ограничений по размеру кода или чё там еще?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 torik

ограничение на ~10тыс строк и заметно медленней работает по сравнению с SE.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да запускается и работает...

Но т.к. он стартер едишн, не будет ли ограничений по размеру кода или чё там еще?

Я с родного FTP брал SE Plus 6.5b, работает замечательно, большое спасибо ребятам.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Назрел еще вопрос.

 

Написал небольшой top-модуль, к нему на SV забацал тестбенч. Из ква запускаю функциональное моделирование, все нормально работает.

А вот когда пробую Gate Level Simulation, начинаются проблемы. Моделсим ругается на ссылки на переменные модуля.

 

Например, хочу наблюдать состояние переменной global_prereset top-модуля GS58, и обращаюсь к ней из тестбенча rrr.reg1 - моделсим ругается:

 

# ** Error: (vsim-3043) D:/altera/GS58/GS58/testbench/GS58_TST.sv(61): Unresolved reference to 'SG1' in GS58.SG1.

# Region: /GS58_TST

# ** Error: (vsim-8220) D:/altera/GS58/GS58/testbench/GS58_TST.sv(36): This or another usage of 'GS58.global_prereset' inconsistent with 'module' object.

 

Чё ему не нравится? И вообще, насколько полезна эта Gate Level Simulation? Ведь при компиляции ква проверит все тайминги и ругнется если что.

 

Проект прикладываю...

GS58_201209_1.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Написал небольшой top-модуль, к нему на SV забацал тестбенч. Из ква запускаю функциональное моделирование, все нормально работает.

А вот когда пробую Gate Level Simulation, начинаются проблемы. Моделсим ругается на ссылки на переменные модуля.

 

Чё ему не нравится? И вообще, насколько полезна эта Gate Level Simulation? Ведь при компиляции ква проверит все тайминги и ругнется если что.

 

Проект прикладываю...

 

дык что сложного то %)

 

1. в атаче нет тестбенча, хорошо что хоть GS58_TST.sv.bak остался

2. ругается потому что сигнала GS58.global_reset_n нет, легко проверяется просмотром файла нетлиста simulation\modelsim\GS58.vo

3. Чему тут удивляться то, маппер и фиттер при оптимизации сделали все что считали нужным, потому сигнала и нет (а есть лют под именем global_reset_n %) )

 

у меня не разу не было ситуации когда моделирование нетлиста было бы оправдано, хотя подразумеваю что такие ситуации есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

у меня не разу не было ситуации когда моделирование нетлиста было бы оправдано, хотя подразумеваю что такие ситуации есть.
У меня один раз было (двно правда), что симулятор функционального теста делал ошибку на одной конструкции.

На нетлисте работало правильно. Но это грайне редкие случаи.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...