Kuzmi4 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба Добрался до страницы 9 - всё нормально лог консоли cd D:/Tmp/23 # reading C:\modeltech_6.5b\win32/../modelsim.ini do D:/Tmp/23/compile.do # Copying C:\modeltech_6.5b\win32/../modelsim.ini to modelsim.ini # Modifying modelsim.ini # ** Warning: Copied C:\modeltech_6.5b\win32/../modelsim.ini to modelsim.ini. # Updated modelsim.ini. # -- Compiling module cache # -- Compiling module memory # -- Compiling module proc # # Top level modules: # cache # memory # proc # -- Loading package standard # -- Loading package std_logic_1164 # -- Compiling package std_logic_util # -- Compiling package body std_logic_util # -- Loading package std_logic_util # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_util # -- Compiling entity cache_set # -- Compiling architecture only of cache_set # -- Loading package standard # -- Loading package std_logic_1164 # -- Compiling entity top # -- Compiling architecture only of top vsim work.top # vsim work.top # ** Note: (vsim-3812) Design is being optimized... # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading work.top(only)#1 # Loading work.proc(fast) # Loading work.cache(fast) # Loading work.std_logic_util(body) # Loading work.cache_set(only)#1 # Loading work.memory(fast) run 100ns # 20: Starting Read/Write test # 20: Writing data=0000 to addr=00 # 60: Write miss, picking set 3 run -all # 220: Writing data=0001 to addr=01 # 260: Write miss, picking set 3 # 420: Writing data=0002 to addr=02 # 460: Write miss, picking set 3 # 620: Writing data=0003 to addr=03 # 660: Write miss, picking set 3 # 820: Writing data=0004 to addr=04 # 860: Write miss, picking set 3 # 1020: Writing data=0005 to addr=05 # 1060: Write miss, picking set 3 # 1220: Writing data=0006 to addr=06 # 1260: Write miss, picking set 3 # 1420: Writing data=0007 to addr=07 # 1460: Write miss, picking set 3 # 1620: Writing data=0008 to addr=08 # 1660: Write miss, picking set 3 # 1820: Writing data=0009 to addr=09 # 1860: Write miss, picking set 3 # 2020: Reading from addr=00 # 2060: Read hit to set 3 # 2100: Reading from addr=01 # 2140: Read hit to set 3 # 2180: Reading from addr=02 # 2220: Read hit to set 3 # 2260: Reading from addr=03 # 2300: Read hit to set 3 # 2340: Reading from addr=04 # 2380: Read hit to set 3 # 2420: Reading from addr=05 # 2460: Read hit to set 3 # 2500: Reading from addr=06 # 2540: Read hit to set 3 # 2580: Reading from addr=07 # 2620: Read hit to set 3 # 2660: Reading from addr=08 # 2700: Read hit to set 3 # 2740: Reading from addr=09 # 2780: Read hit to set 3 # Read/Write test done # ** Note: $stop : proc.v(75) # Time: 2820 ns Iteration: 0 Instance: /top/p # Break at proc.v line 75 Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
torik 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба На своем проекте у меня не ругается, но я хочу именно лабы. Мне непонятно даже, откуда берутся тестовые сигналы (прописаны в тестбенче?), хочу понять вообще основы моделирования, связь с квартусом, как пользоваться тестбенчами... modelsim6.4a Кстати, при выполненении do compile.do все проходит нормально, а двойной щелчек на top дает ошибку... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Kuzmi4 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба 2 torik - вышеприведённые действия делал с файлами с директории "modelsim_data_6.2c.zip/modelsim_lab/modsim_lab1" Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
torik 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба 2 torik - вышеприведённые действия делал с файлами с директории "modelsim_data_6.2c.zip/modelsim_lab/modsim_lab1" Да про них и речь Версия моделсима какая? Не мог бы кто-нибудь попробовать эти лабы в версии 6.4а? А то споткнулся в самом начале, ошибка непойми о чем, какие действия можно предпринять неясно... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Kuzmi4 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба Версия моделсима какая? ModelSim SE 6.5b May 21 2009 6.4а снёс месяца 3 назад... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
torik 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба А чё? Да и в любом случае, какого хрена, что это за ошибка, в чем ее суть? Ну не загрузить, а дальше что... Это что, из-за того, что не было таблетки от жадности, поддерживает не более одного файла HDL? Ну допустим, поставлю ModelSim SE 6.5b May 21 2009 - где взять сей инструмент с таблеткой вместе? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Kuzmi4 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба А чё? Дизайны стали очень привязаны к специфике виртексов, а в 11-й версии аси - непринятие А-релизов. что это за ошибка, в чем ее суть? судя по всему у вас МС не можен найти энтити задекларированные в топе. Двойной щелчёк - это вроде vsim work.top таблетку могу намылить, сам МС с сайта качается ;) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
torik 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба У меня сейчас вообще моделсим альтера стартер, может мы говорим совершенно о разных вещах и я зря стараюсь запустить на нем эти лабы? судя по всему у вас МС не можен найти энтити задекларированные в топе. Да это и так ясно, но что я могу тут сделать, файлы-то есть, чего ему еще надо... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Kuzmi4 0 30 октября, 2009 Опубликовано 30 октября, 2009 · Жалоба У меня сейчас вообще моделсим альтера стартер, может мы говорим совершенно о разных вещах и я зря стараюсь запустить на нем эти лабы? В этом как раз может быть проблема - у меня ж ModelSim SE 6.5b May 21 2009 Может альтеровцы подкрутили что :wassat: Лог с консоли приведите, может проясниться что Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
torik 0 24 ноября, 2009 Опубликовано 24 ноября, 2009 · Жалоба Хочу вернуться к вопросу о моделсиме. Подскажите, где вообще можно что-то попроще почитать о тестбенчах, куда их прикручивать, как писать... А потом уже о моделсиме. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 24 ноября, 2009 Опубликовано 24 ноября, 2009 · Жалоба Хочу вернуться к вопросу о моделсиме. Подскажите, где вообще можно что-то попроще почитать о тестбенчах, куда их прикручивать, как писать... А потом уже о моделсиме. в тему про SV, там линки и книги Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
torik 0 24 ноября, 2009 Опубликовано 24 ноября, 2009 · Жалоба Мне бы что-то совсем простое, типа примера простенького тестбенча, как при этом должен выглядеть проект в квартусе, как его передать в моделсим и посмотреть что получается. Для начала что-то вроде счетчика, триггера.... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
khlenar 5 24 ноября, 2009 Опубликовано 24 ноября, 2009 · Жалоба Вот недавно где то выкладывал: module countbit( input clk, //такт... input [7:0] data, //данные input load, //загрузка данных output reg fin, //флаг, счет закончен output reg [4:0] count //результат ); bit [7:0] tempbuff; always @ (posedge clk or posedge load) begin if(load) begin tempbuff <= data; fin <= 0; count <= 0; end else begin if(tempbuff[0]) begin tempbuff <= tempbuff >> 1; count++; end else fin <= 1; end end endmodule module test; bit clk; bit [7:0] data; bit load; bit fin; bit [4:0] count; initial begin clk = 0; data = 0; load = 0; #5 data = 8'b11001111; #5 load = 1; #2 load = 0; wait(fin == 1); //Ждать пока счет не закончен #5 data = 8'b10101011; #5 load =1; #2 load = 0; wait(fin == 1); //Ждать пока счет не закончен #5 $stop; end always #2 clk = ~clk; countbit P1 ( .clk(clk), .data(data), .load(load), .fin(fin), .count(count) ); endmodule Собственно программа подсчитыват количество бит прва на лево (с мл. бита) до первого значащего нуля. Не обязательно с самого начала создавать проект в квартусе. Можно сначало в модель симе создать, писать, симулировать, а потом синтезировать в квартусе. Я сам все это поверхностно изучил, так, что не обессудь. Что не понятно в программе спрашивай, а так создай проект в модель симе, просимулируй, должно быть все понятно. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 25 ноября, 2009 Опубликовано 25 ноября, 2009 · Жалоба Мне бы что-то совсем простое, типа примера простенького тестбенча, как при этом должен выглядеть проект в квартусе, как его передать в моделсим и посмотреть что получается. Для начала что-то вроде счетчика, триггера.... следите за форумом, например здесь я выкладывал проект с простым тестбенчем Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
torik 0 25 ноября, 2009 Опубликовано 25 ноября, 2009 · Жалоба Iptash, спасибо. Как раз то что надо для понимания связи тестбенча и собственно описания девайса. Вот только моделсим 6.4а у меня тупит, похоже что он просто не работает, качаю 9.1... А дальше буду вопросы как это в моделсиме запустить. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться