Перейти к содержанию
    

USB-to-JTAG шнурок для XILINX

там ничего интересного нету - кроме VID&PID - можно даже не читать 24хх, а каким-нить USB-monitor'ом, глянуть кто с какими VID&PID на шине висит

Точно? Есть подозрение, что за пределами вид-пид там еще что-то связанное с сериальником в далласе сидит. Может все-таки считать?

сразу вспоминается сказка про Емелю и щуку))

нет такой возможности :(

но могу свои наработки выложить : слои гербера из пдфа, наложенные в полупрозрачном режиме в фотошопе - по ним всеже проще схемку срисовать. Самому этим заниматься сейчас времени нет.

если кому интересно- то выложу при условии опубликования на форуме схемы, полученной с помощью файла

Хорошо бы. Условие принимается. А по поводу щуки- есть програмки Pdf2Cad. Она прекрасно вытягивает гербера (в DXF) из векторных пдфов. Например эвалюшнборды от Аналоговых девиц свободно вычитываются, только рисунок в пдф должен быть векторный. Но в нашем случае жестокий облом- рисунки уже растровые. Их пришлось скормить програмке Scan2Cad. Тягомотины больше, но тоже неплохо получается. Програмки спрашивать у осла, или, если надо, залью на фтп.

По поводу восстановления прошивки плисы цитата

18. What happens if I prematurely terminate a Platform Cable USB CPLD update operation?

If a CPLD re-programming operation is interrupted (i.e., by unplugging the cable or terminating the iMPACT session), the CPLD should be detected as an erased device during a subsequent "Cable Setup" operation. Consequently, the update operation should be repeated and the CPLD should be successfully programmed.

 

NOTE: In the event that iMPACT no longer attempts to reprogram the CPLD after a premature termination of the operation, a XILINX environment variable can be used to force the reprogramming operation. This method should only be used in exceptional cases.

 

Shell to a DOS window and set the following environment variable to true:

SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_UPDATE=TRUE

 

Invoke iMPACT from the DOS command level.

 

Select "Platform Cable USB" from the Cable Setup menu and wait for the update to be completed.

 

Exit iMPACT.

 

Set the environment variable to false:

SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_UPDATE=FALSE

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

XC2C256 стоит для защиты интеллектуальной собственности и в копии нафиг не нужна (тока стоимость баксов 20 поднимается (для штучного производства)) - всё _бы_ можно было _бы_ засунуть в FX2 - но тока стошком много _бы_ : реально нужны временные ресурсы чтобы сидеть и этим всем заниматься :(

Да нет, там честный сериалайзер jtaga, может даже с фифо.

Вот для желающих кам файл этой платы. Можно поизвращаться с реверсингом.

А если еще и пады добавить, то нетлист сделать легко. Слои питания я опустил- они не векторизовались, а с силком лоханулся- он зеркальный вышел. Кто знает, как в КАМ350 отзеркалить проект?

Digilent.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... а с силком лоханулся- он зеркальный вышел. Кто знает, как в КАМ350 отзеркалить проект?

 

Edit->Mirror, если зеркальность по горизонтали.

Если по вертикали, то еще и Edit->Rotate.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

XC2C256 стоит для защиты интеллектуальной собственности и в копии нафиг не нужна (тока стоимость баксов 20 поднимается (для штучного производства)) - всё _бы_ можно было _бы_ засунуть в FX2 - но тока стошком много _бы_ : реально нужны временные ресурсы чтобы сидеть и этим всем заниматься :(

Да нет, там честный сериалайзер jtaga, может даже с фифо.

Вот для желающих кам файл этой платы. Можно поизвращаться с реверсингом.

А если еще и пады добавить, то нетлист сделать легко. Слои питания я опустил- они не векторизовались, а с силком лоханулся- он зеркальный вышел. Кто знает, как в КАМ350 отзеркалить проект?

 

ja programku pisal kotoroi ot coolrunner xpl3 jedec VHDL delajet

esli nemnozko dorabotat to dlja coolrunner2 tozhe budet rabotat

kto zanimatsja hochet mogu istochniki dat

 

PLD v usb cable (i v parallel IV tozhe) ne saschivon, mozhno

abrotno chitat bez problem

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

там ничего интересного нету - кроме VID&PID - можно даже не читать 24хх, а каким-нить USB-monitor'ом, глянуть кто с какими VID&PID на шине висит
Точно? Есть подозрение, что за пределами вид-пид там еще что-то связанное с сериальником в далласе сидит. Может все-таки считать?
ок

 

сразу вспоминается сказка про Емелю и щуку))

нет такой возможности :(

но могу свои наработки выложить : слои гербера из пдфа, наложенные в полупрозрачном режиме в фотошопе - по ним всеже проще схемку срисовать. Самому этим заниматься сейчас времени нет.

если кому интересно- то выложу при условии опубликования на форуме схемы, полученной с помощью файла

Хорошо бы. Условие принимается. А по поводу щуки- есть програмки Pdf2Cad. Она прекрасно вытягивает гербера (в DXF) из векторных пдфов. Например эвалюшнборды от Аналоговых девиц свободно вычитываются, только рисунок в пдф должен быть векторный. Но в нашем случае жестокий облом- рисунки уже растровые. Их пришлось скормить програмке Scan2Cad. Тягомотины больше, но тоже неплохо получается.
вот ФШ-файл. там и сигнальные и питающие планы, но все надо ручками вытаскивать((

(+ худо-бедное знание ФШ - чтобы слои свести - а то я подилетантски прозрачность слоев настроил - уж как мог..)

S3E_Starter_JTAG_FX2.zip

насчет PDF - думал сначала, что Вы не адобовский PDF имели в виду, а нативный - КАДовский.

Но тем не менее, осталось у меня сомнение - там же никакой спец.инф. в PDF нету - как прога "вытягивает" инфу о падах, чтобы восстановить нетлист?!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да нет, там честный сериалайзер jtaga, может даже с фифо.
ja programku pisal kotoroi ot coolrunner xpl3 jedec VHDL delajet

esli nemnozko dorabotat to dlja coolrunner2 tozhe budet rabotat

kto zanimatsja hochet mogu istochniki dat

а это весьма интересно - пусть там честный parallel-to-serial, но для mass-product можно запихнуть это добро в FX2 (либо поставить туда CPLD попроще, а в FX2 перенести crypto), + это позволило бы перейти к более распространенным и доступным FX2 в 56ногом корпусе

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to Flood

 

можете найти полчаса и зарисовать схему выходной части из CPLD ?

компараторы и т.д.

все что идет от сплд до разъема?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to Flood

 

можете найти полчаса и зарисовать схему выходной части из CPLD ?

компараторы и т.д.

все что идет от сплд до разъема?

 

Боюсь, не в ближайшее время - устройства на руках уже нет. Да и схема там суровая. Однако постараюсь. Могу выложить hi-res фото обеих сторон платы, но с установленными компонентами для срисовки платы они мало пригодны...

По ощущениям там 4 слоя всего, разводка сигналов на внешних слоях, а внутри только power planes, хотя могу и ошибаться.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот набросок схемы и оркадовский проект с ней. Проверьте на истинность, а то рисовалось после тяпницы.

XilJTAG.pdf

PLATFORMUSB.RAR

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to Flood

 

выкладывайте на сахару фотографии (или еще куда).

вроде разводка наоборот во внутренних слоях.

 

я тоже к сожалению не могу взять сейчас данный девайс, занят он...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 АДИКМ

 

судя по дизайну платы Spartan-3E Starter Kit HW-SPAR3E-SK-US (а там действительно всё сигнальное хозяйство на внутренних слоях), на этой DLC9 сделано так же.

 

ЗЫ: а по поводу каличности того либо иного сервиса: прикреплял и тут файлы по 6Мб (и HiRes в т.ч.) - трудностей не возникало.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

можете найти полчаса и зарисовать схему выходной части из CPLD ?

компараторы и т.д.

все что идет от сплд до разъема?

Так там все и так очевидно, из даташита на кабель.

post-1741-1160945759.png

post-1741-1160945775_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to knach

Вот видишь, а я не додумался открыть мануал на кабель... :(((

Ну так что, дорисовывай полностью схему и и попробуем.

 

кстати, я не могу вообще никакой файл прикрепить.

показывает, что загружает, а на самом деле ноль. Руки видать кривые.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Собственно тема:

 

восстановить схему - вопрос свободного времени и усидчивости

а вот как решать вопрос с изготовлением.

 

Была выставка Чип Экспо. Я ходил по выставке и спрашивал производителей: захочет ли кто нибудь выпускать дешевые USB-JTAG. Такие, чтобы "брали" FPGA и в том числе их загрузку, граничное сканирование и к которым можно было бы обращаться из самодельной программы. А в идеале, чтобы работали с фирменными программными инструментами.

Несколько таких нашлось.

Итак, может кто московский (тк фирмы - московские) это дело дальше тащить? Т.е. передать материалы на изготовление (про долю сами с ними договоритесь...), потом отладить первый образец, ну и когда пойдет серия, то отвечать клиентам на трудные вопросы.

Хочется получить железку в продаже от 30 до ??? долл. Так чтобы ее каждый смог купить, пусть даже россыпью в виде набора или полностью смонтированную.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...