Перейти к содержанию
    

Ремонт оссцилографа Hantek dso5102p - проблема с ПЛИС Cyclone 4

Подскажите по FPGA Cyclone 4 (EP4CE6F17C8N). Имеется в ремонте оссцилограф Hantek dso5102p. Он запускается но на экране ошибка FPGA и не одна кнопка не работает. Посмотрел процесс прошивки FPGA по контактам nConfig-nStatus-Config Done. Процесс такой:1-nConfig внешний сигнал для сброса FPGA. 2-nStatus сигнал от FPGA о готовности для прошивания. 3-Config Done сигнал от FPGA о завершении прошивки и готовности к работе. Так вот у меня после сигнала nStatus (когда происходит прошивка) появляется опять импульс о неготовности FPGA к прошиванию. На рабочем ослике такого нет. И естественно прошивки прерывается. И естественно на Config Done остается логический 0. На рисунке я этот импульс обвел. Сразу скажу что NAND и прошивка не причем (заведомо перепаивал нанд с рабочего и не помогло). FPGA менял. Кварц FPGA 100MHz генерит. Напряжения FPGA 2.4в и 1.3в присутствуют. Да и другие напряжения все в норме. Я понимаю что здесь обсуждение Cyclone 4 вот меня и интересует какие могут быть причины отмены прошивки.На картинки я зарисовал как должно быть и как у меня (обвел импульс отмены готовности прошивания).

Осцил.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дохлый FPGA? кз на плате, просадка питания при прошивке, чудес не бывает..

По этой шине только эта FPGA прошивается, других устройств нет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

31 минуту назад, Maxim_S сказал:

Дохлый FPGA? кз на плате, просадка питания при прошивке, чудес не бывает..

По этой шине только эта FPGA прошивается, других устройств нет?

FPGA менял на новый. Бывало что он раза два запускался примерно на 1-3мин и потом опять зависал. Питание я проверял и 3.3в и 1.3в и 2.4в все четкие и без пульсаций. Я их даже сверял с рабочим осликом. И 100МНz сверял с рабочим осликом , сигнал полностью соответствует и по амплитуде и по времени. Подозрение что либо где то утечка керамики или обрыв или кз. Я просто первый раз знакомлюсь с FPGA вот и хотелось бы услышать специалистом в этом. Я правильно понимаю : если есть основные питания , генерация кварца то только по этим трем шинам происходит прошивания ??? Если так то придется мне выпаивать с рабочего ослик FPGA и просто тупо сверять питания и просто замерять сопртивления по каждой ножки на массу для опродиления утечки. Сами понимаете я боюсь угробить рабочий ослик .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8–4 Chapter 8: Configuration and Remote System Upgrades in Cyclone IV Devices
Configuration
Cyclone IV Device Handbook, May 2013 Altera Corporation
Volume 1
Power-On Reset (POR) Circuit
The POR circuit keeps the device in reset state until the power supply voltage levels
have stabilized during device power up. After device power up, the device does not
release nSTATUS until V CCINT, V CCA , and V CCIO (for I/O banks in which the
configuration and JTAG pins reside) are above the POR trip point of the device.
V CCINT and V CCA are monitored for brown-out conditions after device power up.
1 V CCA is the analog power to the phase-locked loop (PLL).
In some applications, it is necessary for a device to wake up very quickly to begin
operation. Cyclone IV devices offer the fast POR time option to support fast wake-up
time applications. The fast POR time option has stricter power-up requirements when
compared with the standard POR time option. You can select either the fast option or
the standard POR option with the MSEL pin settings.
1 If your system exceeds the fast or standard POR time, you must hold nCONFIG low
until all the power supplies are stable.
f For more information about the POR specifications, refer to the Cyclone IV Device
Datasheet.
f For more information about the wake-up time and POR circuit, refer to the Power
Requirements for Cyclone IV Devices chapter.

 

Power Up
If the device is powered up from the power-down state, V CCINT, V CCA , and V CCIO (for
the I/O banks in which the configuration and JTAG pins reside) must be powered up
to the appropriate level for the device to exit from POR.
Reset
After power up, Cyclone IV devices go through POR. POR delay depends on the MSEL
pin settings, which correspond to your configuration scheme. During POR, the device
resets, holds nSTATUS and CONF_DONE low, and tri-states all user I/O pins (for PS and
FPP configuration schemes only).
1 To tri-state the configuration bus for AS and AP configuration schemes, you must tie
nCE high and nCONFIG low.
The user I/O pins and dual-purpose I/O pins have weak pull-up resistors, which are
always enabled (after POR) before and during configuration. When the device exits
POR, all user I/O pins continue to tri-state. While nCONFIG is low, the device is in
reset. When nCONFIG goes high, the device exits reset and releases the open-drain
nSTATUS pin, which is then pulled high by an external 10-k pull-up resistor. After
nSTATUS is released, the device is ready to receive configuration data and the
configuration stage starts.
f For more information about the value of the weak pull-up resistors on the I/O pins
that are on before and during configuration, refer to the Cyclone IV Device Datasheet
chapter.
Configuration
Configuration data is latched into the Cyclone IV device at each DCLK cycle. However,
the width of the data bus and the configuration time taken for each scheme are
different. After the device receives all the configuration data, the device releases the
open-drain CONF_DONE pin, which is pulled high by an external 10-kpull-up resistor.
A low-to-high transition on the CONF_DONE pin indicates that the configuration is
complete and initialization of the device can begin.

Chapter 8: Configuration and Remote System Upgrades in Cyclone IV Devices 8–7
Configuration
May 2013 Altera Corporation Cyclone IV Device Handbook,
Volume 1
You can begin reconfiguration by pulling the nCONFIG pin low. The nCONFIG pin must
be low for at least 500 ns. When nCONFIG is pulled low, the Cyclone IV device is reset.
The Cyclone IV device also pulls nSTATUS and CONF_DONE low and all I/O pins are
tri-stated. When nCONFIG returns to a logic-high level and nSTATUS is released by the
Cyclone IV device, reconfiguration begins.
Configuration Error
If an error occurs during configuration, Cyclone IV devices assert the nSTATUS signal
low, indicating a data frame error and the CONF_DONE signal stays low. If the
Auto-restart configuration after error option (available in the Quartus II software in
the General tab of the Device and Pin Options dialog box) is turned on, the
Cyclone IV device releases nSTATUS after a reset time-out period (a maximum of
230 s), and retries configuration. If this option is turned off, the system must monitor
nSTATUS for errors and then pulse nCONFIG low for at least 500 ns to restart
configuration.
Initialization
In Cyclone IV devices, the initialization clock source is either the internal oscillator or
the optional CLKUSR pin. By default, the internal oscillator is the clock source for
initialization. If you use the internal oscillator, the device provides itself with enough
clock cycles for proper initialization. When using the internal oscillator, you do not
have to send additional clock cycles from an external source to the CLKUSR pin during
the initialization stage. Additionally, you can use the CLKUSR pin as a user I/O pin.
You also have the flexibility to synchronize initialization of multiple devices or to
delay initialization with the CLKUSR option. The CLKUSR pin allows you to control
when your device enters user mode for an indefinite amount of time. You can turn on
the Enable user-supplied start-up clock (CLKUSR) option in the Quartus II software
in the General tab of the Device and Pin Options dialog box. When you turn on the
Enable user supplied start-up clock option (CLKUSR) option, the CLKUSR pin is the
initialization clock source. Supplying a clock on the CLKUSR pin does not affect the
configuration process. After the configuration data is accepted and CONF_DONE goes
high, Cyclone IV devices require 3,192 clock cycles to initialize properly and enter
user mode.
1 If you use the optional CLKUSR pin and the nCONFIG pin is pulled low to restart
configuration during device initialization, ensure that the CLKUSR pin continues to
toggle when nSTATUS is low (a maximum of 230 s).

 

 

На это похоже?

Auto-restart configuration after error option (available in the Quartus II software in
the General tab of the Device and Pin Options dialog box) is turned on, the
Cyclone IV device releases nSTATUS after a reset time-out period (a maximum of
230 s), and retries configuration. If this option is turned off, the system must monitor
nSTATUS for errors and then pulse nCONFIG low for at least 500 ns to restart
configuration

 

в конце концов, раз уж всё  уже меняли, попробуйте подкинуть другой генератор..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Maxim_S сказал:

попробуйте подкинуть другой генератор.

Я и кварц с рабочего перебрасывал 🙂 Я уже подумывал на счет сброса. А именно когда он загрузился подкинуть nConfig на массу для ресета нооооо он идет от процессора и я могу спалить его. А как по другому ресетнуть его ? Да и опять таки ресетну я его принудительно пойдет ли заново прошивка ?

Изменено пользователем Dragon1559

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ничего никуда подкдывать не надо! Проверьте R линий данных, проверьте как (синхронность) запуска линий питаний, по сравнению с рабочим.

Вообще у неисправностей есть предистории, если появилась сама - на полке, скорее цепи питания.

Если после КЗ или "грозы" то возможны внутримикросхемные  пробои.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я уже попробовал через сопротивление 10к сажать nConfig просадочка маленькая для ресета. Меньше подкидывать сопротивления  не стал рисковать, да и глупо это. Я пробовал одновременно просматривать сигналы по питаниям и nStatus . Весь процесс с момента включения до появления импульса отмены на линии nStatus (обведенный красным) на линиях питания не каких пульсаций и изменений нет. Просматривал на всех трех питаниях. Перестал он работать в момент измерения генерации кварца на 12в приборчике. Погода была солнечная. Скачков в сети не было. Он просто тупо завис и после перезагрузки на экране надпись ошибки FPGA и не на какие кнопки не реагирует. Проверить R линии данных это вы что имеете в виду ? Немного не понял 🙂

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уже подумываю отпаять FPGA и под микроскопом просто волосинками подпаять линии питания-массы-генератор ну и три линии управления дабы исключить все другие линии и как раз появится возможность управлять nConfigom ну ииииии там будет видно. Да конечно геморой полный будет с подпайкой ну я просто не знаю уже куда лесть. Вроде все присутствует и должно работать нооооо . Ладно это уже в новом году. К стати с наступающим Новым годом ! Удачи в ремонте техники , здоровья и всего хорошего.

Изменено пользователем Dragon1559

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Dragon1559 сказал:
1 час назад, Dragon1559 сказал:

Уже подумываю отпаять FPGA и под микроскопом просто волосинками подпаять линии питания-массы-генератор ну и три линии управления дабы исключить все другие линии и как раз появится возможность управлять nConfigom ну ииииии там будет видно. Да конечно геморой полный будет с подпайкой ну я просто не знаю уже куда лесть. Вроде все присутствует и должно работать нооооо . Ладно это уже в новом году. К стати с наступающим Новым годом ! Удачи в ремонте техники , здоровья и всего хорошего.

 Проверить R линии данных это вы что имеете в виду ? Немного не понял 🙂

Мультиметром прозвонить сопротивление линий и сравнить с сопротивлениями рабочей ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 31.12.2022 в 10:41, byRAM сказал:

Мультиметром прозвонить сопротивление линий и сравнить с сопротивлениями рабочей ПЛИС.

Замерил сопротивления и они одинаковые. На 2.4в-1.63к мой и 1.67к рабочий. На 1.25в-247ом мой и 214ом рабочий. На 3.3в-61ом мой и 61ом рабочий.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 часа назад, Dragon1559 сказал:

Замерил сопротивления и они одинаковые. На 2.4в-1.63к мой и 1.67к рабочий. На 1.25в-247ом мой и 214ом рабочий. На 3.3в-61ом мой и 61ом рабочий.

Нужно все линии проверить, не только те, по которым осуществляется программирование. А каналы питания - прежде всего остального.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 часа назад, byRAM сказал:

А каналы питания - прежде всего остального

Ну откровенно я и все остальные напруги замерил просто не стал расписывать. Все они совпадают . Максимум разница 10ом. Еще раз перепроверил и что делал: 1-нанд с рабочего переставлял (бестолку). 2-Плис менял на новую (бестолку). 3-напряжения сверял с новым и по размаху и по пульсациям (напряжения сходятся, пульсаций нет). 4-измерения сопротивления всех напряжений на массу одинаковое

Изменено пользователем Dragon1559

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я бы ещё посоветовал посмотреть в сторону внешних супервизоров питания, если таковые есть в схеме. Именно они могут мешать процессу программирования. При этом с питанием может быть всё в порядке, а неисправным может быть сам супервизор.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотри пульсации на питании ядра в момент загрузки плис. Как вариант, превентивно замени компоненты источника питания ядра.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...